diff --git a/ai.azure.com.har b/ai.azure.com.har new file mode 100644 index 000000000000..a21660b4198a --- /dev/null +++ b/ai.azure.com.har @@ -0,0 +1,8718 @@ +{ + "log": { + "version": "1.2", + "creator": { + "name": "WebInspector", + "version": "537.36" + }, + "pages": [ + { + "startedDateTime": "2025-12-16T19:54:35.728Z", + "id": "page_1", + "title": "https://ai.azure.com/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build?version=2", + "pageTimings": { + "onContentLoad": 5230.168000000049, + "onLoad": 5231.32699999951 + } + } + ], + "entries": [ + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/ChatbotMarkdown-CWNCkeZ1.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqp" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE39AE3AC46C3A\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "ea8f009c-e01e-00b4-2fd5-6d0673000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Fri, 12 Dec 2025 18:42:40 GMT" + } + ], + "cookies": [], + "content": { + "size": 21620, + "mimeType": "application/javascript", + "text": "import{j as c}from\"./jsx-runtime-PueUG3ie.js\";import{d as v}from\"./index-eh91LZOQ.js\";import{B as ut}from\"./Button-DdEDu6fe.js\";import{C as ht}from\"./CopyButton-CT6dBNbD.js\";import{E as dt}from\"./ExternalLink-Dcoc5o0p.js\";import{m as gt,M as yt}from\"./Markdown.module-T_EB88Ut.js\";import{T as bt}from\"./Text-BKc--CH7.js\";import{c as Nt}from\"./clsx-B-dksMZM.js\";import{r as rt}from\"./chunk-AYJ5UCUI-CHZl0uAp.js\";import{p as K,T as jt,r as xt,s as Ct}from\"./ThinkBlock-K9ZNu837.js\";import{u as kt}from\"./useTheme-CsOALD7W.js\";import{u as wt}from\"./useDownloadFileContent-CwEGGZj-.js\";import{h as _t,d as Q,r as St,a as Tt}from\"./index-D5RXihIf.js\";import{w as V,s as lt,f as At,a as Et,b as Bt,h as Lt}from\"./index-CICRYNSZ.js\";import{z as vt}from\"./index-BGV5Fhju.js\";import{s as q,r as $t}from\"./index-D0qMv9Qi.js\";import{e as Z,g as Dt,r as Ot}from\"./index-B365C3bO.js\";import{u as Pt}from\"./useTranslation-GpQGsusR.js\";import{r as qt}from\"./index-Bb5uh9Sv.js\";import{h as It}from\"./default-highlight-CIYkANSg.js\";import{bo as Rt}from\"./routes-B_KCbbrf.js\";import\"./useComponentTelemetry-DOGWn_rt.js\";import\"./jsx-runtime-CE36vhjJ.js\";import\"./keys-Dcsnt8bO.js\";import\"./canUseDOM-CB3nZ1cL.js\";import\"./__styles.esm-BWuvMI-e.js\";import\"./Spinner-R8my4O9V.js\";import\"./copyText-FLk16Yey.js\";import\"./VisuallyHidden-BLjBPmG_.js\";import\"./bundleIcon-TSC2ACaK.js\";import\"./chunk-1-H2A4v533.js\";import\"./createFluentIcon-CmfMzRqU.js\";import\"./chunk-2-CFD43vzs.js\";import\"./Tooltip-ecdutMkx.js\";import\"./TooltipContext-Dci9DVZs.js\";import\"./mergeCallbacks-B6DPBfGY.js\";import\"./usePositioning-BHY7Yy1-.js\";import\"./floating-ui.dom-bHB5rRnb.js\";import\"./isHTMLElement-DzbfLiT3.js\";import\"./mergeArrowOffset-Cw61puCo.js\";import\"./useMergedRefs-tyXAPLwU.js\";import\"./useKeyborgRef-BcRHOR55.js\";import\"./constants-C0e9klPp.js\";import\"./getTriggerChild-DM5qcbu4.js\";import\"./getReactElementRef-CWnuKykT.js\";import\"./Portal-BReF5pL_.js\";import\"./index-n5kaHP-D.js\";import\"./Link.module-BaFb71vO.js\";import\"./chunk-3-T0NDRgYh.js\";import\"./index-CD9qzQkn.js\";import\"./ProgressBar-BG8PLWpA.js\";import\"./FieldContext-D7IKZiO4.js\";import\"./chunk-9-CQQsHYrc.js\";import\"./chunk-4-BaIRHUgg.js\";import\"./useThemeContext-J7pR68uw.js\";import\"./useHandleLoginRequired-Dvf8Y1EC.js\";import\"./Environment-CBPkdQ4m.js\";import\"./arm-id-encoding-BzZt5NFl.js\";import\"./routeConstants-D6qd1IKD.js\";import\"./v4-ByQKPXe0.js\";import\"./agentResolverUtils-CQ39ONG9.js\";import\"./getQueryKey-DBgKtAk1.js\";import\"./notifyManager-CiomSESo.js\";import\"./QueryClientProvider-DELkMrut.js\";import\"./useMutation-WPrs2mdW.js\";import\"./currentAgentAtom-RZS-8M1K.js\";import\"./atom-Dhjl6YuA.js\";import\"./react-CE0UYxSF.js\";import\"./highlight-DCOhupYJ.js\";const Ht=/^>|^->||--!>|\"],Wt=[\"<\",\">\"];function Ft(n,t,o,e){return e.settings.bogusComments?\"\":\"\";function s(r){return q(r,Object.assign({},e.settings.characterReferences,{subset:Wt}))}}function zt(n,t,o,e){return\"\"}const f=it(1),at=it(-1),Xt=[];function it(n){return t;function t(o,e,s){const r=o?o.children:Xt;let l=(e||0)+n,a=r[l];if(!s)for(;a&&V(a);)l+=n,a=r[l];return a}}const Jt={}.hasOwnProperty;function ct(n){return t;function t(o,e,s){return Jt.call(n,o.tagName)&&n[o.tagName](o,e,s)}}const Y=ct({body:Gt,caption:G,colgroup:G,dd:Qt,dt:Kt,head:G,html:Ut,li:Yt,optgroup:Zt,option:te,p:Vt,rp:tt,rt:tt,tbody:oe,td:et,tfoot:ne,th:et,thead:ee,tr:se});function G(n,t,o){const e=f(o,t,!0);return!e||e.type!==\"comment\"&&!(e.type===\"text\"&&V(e.value.charAt(0)))}function Ut(n,t,o){const e=f(o,t);return!e||e.type!==\"comment\"}function Gt(n,t,o){const e=f(o,t);return!e||e.type!==\"comment\"}function Vt(n,t,o){const e=f(o,t);return e?e.type===\"element\"&&(e.tagName===\"address\"||e.tagName===\"article\"||e.tagName===\"aside\"||e.tagName===\"blockquote\"||e.tagName===\"details\"||e.tagName===\"div\"||e.tagName===\"dl\"||e.tagName===\"fieldset\"||e.tagName===\"figcaption\"||e.tagName===\"figure\"||e.tagName===\"footer\"||e.tagName===\"form\"||e.tagName===\"h1\"||e.tagName===\"h2\"||e.tagName===\"h3\"||e.tagName===\"h4\"||e.tagName===\"h5\"||e.tagName===\"h6\"||e.tagName===\"header\"||e.tagName===\"hgroup\"||e.tagName===\"hr\"||e.tagName===\"main\"||e.tagName===\"menu\"||e.tagName===\"nav\"||e.tagName===\"ol\"||e.tagName===\"p\"||e.tagName===\"pre\"||e.tagName===\"section\"||e.tagName===\"table\"||e.tagName===\"ul\"):!o||!(o.type===\"element\"&&(o.tagName===\"a\"||o.tagName===\"audio\"||o.tagName===\"del\"||o.tagName===\"ins\"||o.tagName===\"map\"||o.tagName===\"noscript\"||o.tagName===\"video\"))}function Yt(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&e.tagName===\"li\"}function Kt(n,t,o){const e=f(o,t);return!!(e&&e.type===\"element\"&&(e.tagName===\"dt\"||e.tagName===\"dd\"))}function Qt(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&(e.tagName===\"dt\"||e.tagName===\"dd\")}function tt(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&(e.tagName===\"rp\"||e.tagName===\"rt\")}function Zt(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&e.tagName===\"optgroup\"}function te(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&(e.tagName===\"option\"||e.tagName===\"optgroup\")}function ee(n,t,o){const e=f(o,t);return!!(e&&e.type===\"element\"&&(e.tagName===\"tbody\"||e.tagName===\"tfoot\"))}function oe(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&(e.tagName===\"tbody\"||e.tagName===\"tfoot\")}function ne(n,t,o){return!f(o,t)}function se(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&e.tagName===\"tr\"}function et(n,t,o){const e=f(o,t);return!e||e.type===\"element\"&&(e.tagName===\"td\"||e.tagName===\"th\")}const re=ct({body:ie,colgroup:ce,head:ae,html:le,tbody:me});function le(n){const t=f(n,-1);return!t||t.type!==\"comment\"}function ae(n){const t=new Set;for(const e of n.children)if(e.type===\"element\"&&(e.tagName===\"base\"||e.tagName===\"title\")){if(t.has(e.tagName))return!1;t.add(e.tagName)}const o=n.children[0];return!o||o.type===\"element\"}function ie(n){const t=f(n,-1,!0);return!t||t.type!==\"comment\"&&!(t.type===\"text\"&&V(t.value.charAt(0)))&&!(t.type===\"element\"&&(t.tagName===\"meta\"||t.tagName===\"link\"||t.tagName===\"script\"||t.tagName===\"style\"||t.tagName===\"template\"))}function ce(n,t,o){const e=at(o,t),s=f(n,-1,!0);return o&&e&&e.type===\"element\"&&e.tagName===\"colgroup\"&&Y(e,o.children.indexOf(e),o)?!1:!!(s&&s.type===\"element\"&&s.tagName===\"col\")}function me(n,t,o){const e=at(o,t),s=f(n,-1);return o&&e&&e.type===\"element\"&&(e.tagName===\"thead\"||e.tagName===\"tbody\")&&Y(e,o.children.indexOf(e),o)?!1:!!(s&&s.type===\"element\"&&s.tagName===\"tr\")}const z={name:[[`\t\n\\f\\r &/=>`.split(\"\"),`\t\n\\f\\r \"&'/=>\\``.split(\"\")],[`\\0\t\n\\f\\r \"&'/<=>`.split(\"\"),`\\0\t\n\\f\\r \"&'/<=>\\``.split(\"\")]],unquoted:[[`\t\n\\f\\r &>`.split(\"\"),`\\0\t\n\\f\\r \"&'<=>\\``.split(\"\")],[`\\0\t\n\\f\\r \"&'<=>\\``.split(\"\"),`\\0\t\n\\f\\r \"&'<=>\\``.split(\"\")]],single:[[\"&'\".split(\"\"),\"\\\"&'`\".split(\"\")],[\"\\0&'\".split(\"\"),\"\\0\\\"&'`\".split(\"\")]],double:[['\"&'.split(\"\"),\"\\\"&'`\".split(\"\")],['\\0\"&'.split(\"\"),\"\\0\\\"&'`\".split(\"\")]]};function fe(n,t,o,e){const s=e.schema,r=s.space===\"svg\"?!1:e.settings.omitOptionalTags;let l=s.space===\"svg\"?e.settings.closeEmptyElements:e.settings.voids.includes(n.tagName.toLowerCase());const a=[];let m;s.space===\"html\"&&n.tagName===\"svg\"&&(e.schema=lt);const i=pe(e,n.properties),N=e.all(s.space===\"html\"&&n.tagName===\"template\"?n.content:n);return e.schema=s,N&&(l=!1),(i||!r||!re(n,t,o))&&(a.push(\"<\",n.tagName,i?\" \"+i:\"\"),l&&(s.space===\"svg\"||e.settings.closeSelfClosing)&&(m=i.charAt(i.length-1),(!e.settings.tightSelfClosing||m===\"/\"||m&&m!=='\"'&&m!==\"'\")&&a.push(\" \"),a.push(\"/\")),a.push(\">\")),a.push(N),!l&&(!r||!Y(n,t,o))&&a.push(\"\"),a.join(\"\")}function pe(n,t){const o=[];let e=-1,s;if(t){for(s in t)if(t[s]!==null&&t[s]!==void 0){const r=ue(n,s,t[s]);r&&o.push(r)}}for(;++eZ(o,n.alternative)&&(l=n.alternative),a=l+q(o,Object.assign({},n.settings.characterReferences,{subset:(l===\"'\"?z.single:z.double)[s][r],attribute:!0}))+l),m+(a&&\"=\"+a))}const he=[\"<\",\"&\"];function mt(n,t,o,e){return o&&o.type===\"element\"&&(o.tagName===\"script\"||o.tagName===\"style\")?n.value:q(n.value,Object.assign({},e.settings.characterReferences,{subset:he}))}function de(n,t,o,e){return e.settings.allowDangerousHtml?n.value:mt(n,t,o,e)}function ge(n,t,o,e){return e.all(n)}const ye=vt(\"type\",{invalid:be,unknown:Ne,handlers:{comment:Ft,doctype:zt,element:fe,raw:de,root:ge,text:mt}});function be(n){throw new Error(\"Expected node, not `\"+n+\"`\")}function Ne(n){const t=n;throw new Error(\"Cannot compile unknown node `\"+t.type+\"`\")}const je={},xe={},Ce=[];function ke(n,t){const o=t||je,e=o.quote||'\"',s=e==='\"'?\"'\":'\"';if(e!=='\"'&&e!==\"'\")throw new Error(\"Invalid quote `\"+e+\"`, expected `'` or `\\\"`\");return{one:we,all:_e,settings:{omitOptionalTags:o.omitOptionalTags||!1,allowParseErrors:o.allowParseErrors||!1,allowDangerousCharacters:o.allowDangerousCharacters||!1,quoteSmart:o.quoteSmart||!1,preferUnquoted:o.preferUnquoted||!1,tightAttributes:o.tightAttributes||!1,upperDoctype:o.upperDoctype||!1,tightDoctype:o.tightDoctype||!1,bogusComments:o.bogusComments||!1,tightCommaSeparatedLists:o.tightCommaSeparatedLists||!1,tightSelfClosing:o.tightSelfClosing||!1,collapseEmptyAttributes:o.collapseEmptyAttributes||!1,allowDangerousHtml:o.allowDangerousHtml||!1,voids:o.voids||_t,characterReferences:o.characterReferences||xe,closeSelfClosing:o.closeSelfClosing||!1,closeEmptyElements:o.closeEmptyElements||!1},schema:o.space===\"svg\"?lt:Lt,quote:e,alternative:s}.one(Array.isArray(n)?{type:\"root\",children:n}:n,void 0,void 0)}function we(n,t,o){return ye(n,t,o,this)}function _e(n){const t=[],o=n&&n.children||Ce;let e=-1;for(;++e{var t;return n?n in ot?ot[n]:`${((t=n.at(0))==null?void 0:t.toUpperCase())??\"\"}${n.slice(1)}`:\"Plain\"},nt=n=>{const t=/\\[([^\\]]+)]\\(sandbox:([^)]+)\\)/g;return n.replaceAll(t,(o,e,s)=>{const l=`#sandbox-file-${s.split(\"/\").pop()??\"unknown_file\"}`;return`[${e}](${l})`})},st=n=>{const t=/\\n([\\S\\s]*?)\\n<\\/think>/g;let o=n;return o=o.replaceAll(t,(e,s)=>s.trim()?`
${s}
`:\"\"),o},De=\"#sandbox-file-\";function Oe(n){var N;const t=v.c(10),{node:o,children:e,annotations:s,resourceId:r,...l}=n,{downloadFileContent:a}=wt(r),m=((N=o==null?void 0:o.properties.href)==null?void 0:N.toString())??l.href??\"\";if(m.startsWith(De)){let j;t[0]!==e?(j=typeof e==\"string\"?e:Array.isArray(e)?e.join(\"\"):\"unknown_file\",t[0]=e,t[1]=j):j=t[1];const T=j,A=(s==null?void 0:s.filter(Pe))??[];let p;const C=/#sandbox-file-(.+)$/.exec(m);if(C){const[,d]=C;p=A.find(u=>(u.text.split(\"/\").pop()??\"\").toLowerCase()===d.toLowerCase())}const k=d=>{var u;if((u=p==null?void 0:p.file_path)!=null&&u.file_id){const w=p.text.split(\"/\").pop()??T;a(p.file_path.file_id,w)}};let h;t[2]===Symbol.for(\"react.memo_cache_sentinel\")?(h=c.jsx(Rt,{\"aria-hidden\":!0}),t[2]=h):h=t[2];let x;return t[3]!==e||t[4]!==k?(x=c.jsxs(ut,{appearance:\"unstyled\",className:X.fileDownloadLink,onClick:k,telemetryActivity:\"DownloadGeneratedFile\",telemetryPhase:\"Complete\",children:[e,h]}),t[3]=e,t[4]=k,t[5]=x):x=t[5],x}let i;return t[6]!==e||t[7]!==m||t[8]!==l?(i=c.jsx(dt,{href:m,telemetryActivity:\"ChatBotMarkdownExternalLinkClick\",telemetryPhase:\"Complete\",...l,children:e}),t[6]=e,t[7]=m,t[8]=l,t[9]=i):i=t[9],i}function Pe(n){return n.type===\"file_path\"}const ft=rt.memo(n=>{const t=v.c(38);let o,e,s,r,l;t[0]!==n?({inline:s,className:e,children:o,maxCodeBlockWidth:r,...l}=n,t[0]=n,t[1]=o,t[2]=e,t[3]=s,t[4]=r,t[5]=l):(o=t[1],e=t[2],s=t[3],r=t[4],l=t[5]);const{t:a}=Pt(),m=kt();let i,N;if(t[6]!==e){i=/language-(\\w+)/.exec(e??\"\");const g=i==null?void 0:i[1];N=$e(g??\"\"),t[6]=e,t[7]=i,t[8]=N}else i=t[7],N=t[8];const j=N;let T;t[9]!==o?(T=String(o).replace(/\\n$/,\"\").replaceAll(\" \",\"\"),t[9]=o,t[10]=T):T=t[10];const A=T;let p;t[11]!==r?(p={paddingLeft:12,borderBottomLeftRadius:\"10px\",borderBottomRightRadius:\"10px\",maxWidth:r},t[11]=r,t[12]=p):p=t[12];const C=i==null?void 0:i[1],k=m===\"Dark\"?Se:Te;let h;t[13]!==A||t[14]!==p||t[15]!==C||t[16]!==k?(h=c.jsx(It,{PreTag:\"div\",customStyle:p,language:C,showLineNumbers:!0,style:k,children:A}),t[13]=A,t[14]=p,t[15]=C,t[16]=k,t[17]=h):h=t[17];const x=h;if(s||!i){const g=e??\"\";let S;return t[18]!==o||t[19]!==l||t[20]!==g?(S=c.jsx(\"code\",{...l,className:g,children:o}),t[18]=o,t[19]=l,t[20]=g,t[21]=S):S=t[21],S}let d;t[22]!==j?(d=c.jsx(bt,{preset:\"Caption1\",children:j}),t[22]=j,t[23]=d):d=t[23];let u;t[24]!==a?(u=a(\"CopyCode\"),t[24]=a,t[25]=u):u=t[25];const w=String(o);let E;if(t[26]!==w){let g;t[28]===Symbol.for(\"react.memo_cache_sentinel\")?(g=/\\n$/,t[28]=g):g=t[28],E=w.replace(g,\"\"),t[26]=w,t[27]=E}else E=t[27];let _;t[29]!==E||t[30]!==u?(_=c.jsx(ht,{\"aria-label\":u,contentToCopy:E,copyButtonType:\"iconWithText\",telemetryActivity:\"CopyAgentCode\",telemetryPhase:\"Complete\"}),t[29]=E,t[30]=u,t[31]=_):_=t[31];let B;t[32]!==_||t[33]!==d?(B=c.jsxs(\"div\",{className:X.codeBlockHeader,children:[d,_]}),t[32]=_,t[33]=d,t[34]=B):B=t[34];let L;return t[35]!==x||t[36]!==B?(L=c.jsxs(\"div\",{className:X.codeBlock,children:[B,x]}),t[35]=x,t[36]=B,t[37]=L):L=t[37],L});ft.displayName=\"CodeBlock\";function qe(n){const t=v.c(7),{children:o,citations:e}=n;if(typeof o!=\"string\"){let a;return t[0]!==o?(a=c.jsx(c.Fragment,{children:o}),t[0]=o,t[1]=a):a=t[1],a}const s=o;let r;if(t[2]!==e||t[3]!==s){r=Symbol.for(\"react.early_return_sentinel\");t:{const a=/%%CITATION_(\\d+)%%/.exec(s),m=/\\[\\[(\\d+)]]/.exec(s);if(a&&e&&e.length>0){const i=Number.parseInt(a.at(1)??\"0\",10);if(i0){const i=Number.parseInt(m.at(1)??\"0\",10)-1;if(i>=0&&itypeof o==\"string\"?o.split(/(%%CITATION_\\d+%%|\\[\\[\\d+]])/).map(s=>/%%CITATION_\\d+%%|\\[\\[\\d+]]/.test(s)?c.jsx(qe,{citations:t,children:s},s):s):o)}function pn(n){const t=v.c(43),{resourceId:o,citations:e,content:s,className:r,customDisallowedElements:l,annotations:a,maxCodeBlockWidth:m,formatContent:i}=n,N=i===void 0?!0:i;let j;t:{let y;if(N)try{let b;if(t[0]!==s){const F=JSON.parse(s);b=JSON.stringify(F,null,2),t[0]=s,t[1]=b}else b=t[1];y=`\\`\\`\\`json\n${b}\n\\`\\`\\``}catch{y=s}else y=s;if(!e||e.length===0){let b;t[2]!==y?(b=st(K(nt(y))),t[2]=y,t[3]=b):b=t[3],j=b;break t}let P;if(t[4]!==e||t[5]!==y){const b=new Map;let W=y;for(const[F,pt]of e.entries()){const U=pt.props[\"data-replace\"]??\"\";U&&(b.set(U,F),W=W.replaceAll(U,`%%CITATION_${F.toString()}%%`))}P=st(K(nt(W))),t[4]=e,t[5]=y,t[6]=P}else P=t[6];j=P}const T=j;let A;t[7]!==e?(A=Fe(e),t[7]=e,t[8]=A):A=t[8];const p=A;let C;t[9]!==e?(C=ze(e),t[9]=e,t[10]=C):C=t[10];const k=C;let h;t[11]!==e?(h=Ue(e),t[11]=e,t[12]=h):h=t[12];const x=h;let d;t[13]!==e?(d=Ge(e),t[13]=e,t[14]=d):d=t[14];const u=d;let w;t[15]!==a||t[16]!==o?(w=y=>{const{children:P,...b}=y;return c.jsx(Oe,{annotations:a,resourceId:o,...b,children:P})},t[15]=a,t[16]=o,t[17]=w):w=t[17];const E=w;let _;t[18]!==m?(_=y=>c.jsx(ft,{maxCodeBlockWidth:m,...y}),t[18]=m,t[19]=_):_=t[19];const B=_;let L;t[20]!==B||t[21]!==E||t[22]!==k||t[23]!==p||t[24]!==x||t[25]!==u?(L={code:B,a:E,details:jt,p,li:k,table:He,td:x,th:u},t[20]=B,t[21]=E,t[22]=k,t[23]=p,t[24]=x,t[25]=u,t[26]=L):L=t[26];const g=L;let S;t[27]!==r?(S=Nt(r,gt.markdown,X.markdown),t[27]=r,t[28]=S):S=t[28];let $;t[29]!==l?($=l??[],t[29]=l,t[30]=$):$=t[30];let D;t[31]!==$?(D=[\"iframe\",\"head\",\"html\",\"meta\",\"link\",\"style\",\"body\",...$],t[31]=$,t[32]=D):D=t[32];let I;t[33]===Symbol.for(\"react.memo_cache_sentinel\")?(I=[...Q.tagNames??[],\"sub\",\"sup\"],t[33]=I):I=t[33];let R,H;t[34]===Symbol.for(\"react.memo_cache_sentinel\")?(R=[St,Ae,[Tt,{...Q,tagNames:I,code:[[\"className\",/^language-./,\"math-inline\",\"math-display\"]]}],Ie,$t],H=[Dt,xt,Ct,Ot,qt],t[34]=R,t[35]=H):(R=t[34],H=t[35]);let O;t[36]!==g||t[37]!==T||t[38]!==D?(O=c.jsx(yt,{components:g,disallowedElements:D,rehypePlugins:R,remarkPlugins:H,children:T}),t[36]=g,t[37]=T,t[38]=D,t[39]=O):O=t[39];let M;return t[40]!==S||t[41]!==O?(M=c.jsx(\"div\",{className:S,children:O}),t[40]=S,t[41]=O,t[42]=M):M=t[42],M}function Ie(){return Re}function Re(n){const t=o=>{if(o.type===\"element\"&&o.properties!==void 0&&\"ref\"in o.properties&&delete o.properties.ref,o.type===\"element\"&&!/^[a-z][\\da-z]*$/i.test(o.tagName)&&(o.type=\"text\",o.value=`<${o.tagName}`),o.children)for(const e of o.children)t(e)};for(const o of n.children)t(o)}function He(n){const t=v.c(2),{children:o}=n;let e;return t[0]!==o?(e=c.jsx(\"table\",{children:o}),t[0]=o,t[1]=e):e=t[1],e}function Me(n){const t=v.c(6),{children:o,className:e,citations:s}=n;let r;t[0]!==o||t[1]!==s?(r=J(o,s),t[0]=o,t[1]=s,t[2]=r):r=t[2];let l;return t[3]!==e||t[4]!==r?(l=c.jsx(\"p\",{className:e,children:r}),t[3]=e,t[4]=r,t[5]=l):l=t[5],l}function We(n){const t=v.c(5),{children:o,citations:e}=n;let s;t[0]!==o||t[1]!==e?(s=J(o,e),t[0]=o,t[1]=e,t[2]=s):s=t[2];let r;return t[3]!==s?(r=c.jsx(\"li\",{children:s}),t[3]=s,t[4]=r):r=t[4],r}function Fe(n){return function({children:o,className:e}){return c.jsx(Me,{citations:n,className:e,children:o})}}function ze(n){return function({children:o}){return c.jsx(We,{citations:n,children:o})}}function Xe(n){const t=v.c(5),{children:o,citations:e}=n;let s;t[0]!==o||t[1]!==e?(s=J(o,e),t[0]=o,t[1]=e,t[2]=s):s=t[2];let r;return t[3]!==s?(r=c.jsx(\"td\",{children:s}),t[3]=s,t[4]=r):r=t[4],r}function Je(n){const t=v.c(5),{children:o,citations:e}=n;let s;t[0]!==o||t[1]!==e?(s=J(o,e),t[0]=o,t[1]=e,t[2]=s):s=t[2];let r;return t[3]!==s?(r=c.jsx(\"th\",{children:s}),t[3]=s,t[4]=r):r=t[4],r}function Ue(n){return function({children:o}){return c.jsx(Xe,{citations:n,children:o})}}function Ge(n){return function({children:o}){return c.jsx(Je,{citations:n,children:o})}}export{pn as ChatbotMarkdown};\n" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": 0, + "_transferSize": 0, + "_error": null, + "_fetchedViaServiceWorker": false, + "_fulfilledBy": "(disk cache)" + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:31.288Z", + "time": 15.852999999879103, + "timings": { + "blocked": 11.630999999740627, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0, + "wait": 1.7809999995938846, + "receive": 2.441000000544591, + "_blocked_queueing": 2.0839999997406267, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/index-D5RXihIf.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqv" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE39B7F78DDF77\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "009e1f24-d01e-0029-1171-6df4c9000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Fri, 12 Dec 2025 19:52:22 GMT" + } + ], + "cookies": [], + "content": { + "size": 30934, + "mimeType": "application/javascript", + "text": "import{a as hn,b as fn,p as x,e as W,g as R,i as gn}from\"./index-CICRYNSZ.js\";import{z as X,w as C,P as B,f as mn,T as v,a as z,g as K}from\"./index-BGV5Fhju.js\";import{o as F,v as yn}from\"./index-B365C3bO.js\";const kn=[\"area\",\"base\",\"basefont\",\"bgsound\",\"br\",\"col\",\"command\",\"embed\",\"frame\",\"hr\",\"image\",\"img\",\"input\",\"keygen\",\"link\",\"meta\",\"param\",\"source\",\"track\",\"wbr\"];class O{constructor(e,l,o){this.property=e,this.normal=l,o&&(this.space=o)}}O.prototype.property={};O.prototype.normal={};O.prototype.space=null;function Y(n,e){const l={},o={};let r=-1;for(;++r4&&l.slice(0,4)===\"data\"&&Cn.test(e)){if(e.charAt(4)===\"-\"){const a=e.slice(5).replace(q,Ln);o=\"data\"+a.charAt(0).toUpperCase()+a.slice(1)}else{const a=e.slice(4);if(!q.test(a)){let s=a.replace(wn,Pn);s.charAt(0)!==\"-\"&&(s=\"-\"+s),e=\"data\"+s}}r=I}return new r(o,e)}function Pn(n){return\"-\"+n.toLowerCase()}function Ln(n){return n.charAt(1).toUpperCase()}const zn=Y([Z,J,en,ln,xn],\"html\"),on=Y([Z,J,en,ln,Sn],\"svg\"),On={},Dn={}.hasOwnProperty,tn=X(\"type\",{handlers:{root:An,element:Un,text:En,comment:In,doctype:Nn}});function Mn(n,e){const o=(e||On).space;return tn(n,o===\"svg\"?on:zn)}function An(n,e){const l={nodeName:\"#document\",mode:(n.data||{}).quirksMode?\"quirks\":\"no-quirks\",childNodes:[]};return l.childNodes=U(n.children,l,e),P(n,l),l}function Rn(n,e){const l={nodeName:\"#document-fragment\",childNodes:[]};return l.childNodes=U(n.children,l,e),P(n,l),l}function Nn(n){const e={nodeName:\"#documentType\",name:\"html\",publicId:\"\",systemId:\"\",parentNode:null};return P(n,e),e}function En(n){const e={nodeName:\"#text\",value:n.value,parentNode:null};return P(n,e),e}function In(n){const e={nodeName:\"#comment\",data:n.value,parentNode:null};return P(n,e),e}function Un(n,e){const l=e;let o=l;n.type===\"element\"&&n.tagName.toLowerCase()===\"svg\"&&l.space===\"html\"&&(o=on);const r=[];let a;if(n.properties){for(a in n.properties)if(a!==\"children\"&&Dn.call(n.properties,a)){const h=Bn(o,a,n.properties[a]);h&&r.push(h)}}const s=o.space,p={nodeName:n.tagName,tagName:n.tagName,attrs:r,namespaceURI:C[s],childNodes:[],parentNode:null};return p.childNodes=U(n.children,p,o),P(n,p),n.tagName===\"template\"&&n.content&&(p.content=Rn(n.content,o)),p}function Bn(n,e,l){const o=Tn(n,e);if(l===!1||l===null||l===void 0||typeof l==\"number\"&&Number.isNaN(l)||!l&&o.boolean)return;Array.isArray(l)&&(l=o.commaSeparated?hn(l):fn(l));const r={name:o.attribute,value:l===!0?\"\":String(l)};if(o.space&&o.space!==\"html\"&&o.space!==\"svg\"){const a=r.name.indexOf(\":\");a<0?r.prefix=\"\":(r.name=r.name.slice(a+1),r.prefix=o.attribute.slice(0,a)),r.namespace=C[o.space]}return r}function U(n,e,l){let o=-1;const r=[];if(n)for(;++o])/gi,Hn=new Set([\"mdxFlowExpression\",\"mdxJsxFlowElement\",\"mdxJsxTextElement\",\"mdxTextExpression\",\"mdxjsEsm\"]),_={sourceCodeLocationInfo:!0,scriptingEnabled:!1};function rn(n,e){const l=Gn(n),o=X(\"type\",{handlers:{root:qn,element:_n,text:$n,comment:sn,doctype:Vn,raw:Wn},unknown:Xn}),r={parser:l?new B(_):B.getFragmentParser(void 0,_),handle(p){o(p,r)},stitches:!1,options:e||{}};o(n,r),L(r,x());const a=l?r.parser.document:r.parser.getFragment(),s=mn(a,{file:r.options.file});return r.stitches&&yn(s,\"comment\",function(p,h,d){const f=p;if(f.value.stitch&&d&&h!==void 0){const y=d.children;return y[h]=f.value.stitch,h}}),s.type===\"root\"&&s.children.length===1&&s.children[0].type===n.type?s.children[0]:s}function an(n,e){let l=-1;if(n)for(;++l4&&(e.parser.tokenizer.state=0);const l={type:z.CHARACTER,chars:n.value,location:D(n)};L(e,x(n)),e.parser.currentToken=l,e.parser._processToken(e.parser.currentToken)}function Vn(n,e){const l={type:z.DOCTYPE,name:\"html\",forceQuirks:!1,publicId:\"\",systemId:\"\",location:D(n)};L(e,x(n)),e.parser.currentToken=l,e.parser._processToken(e.parser.currentToken)}function jn(n,e){e.stitches=!0;const l=Jn(n);if(\"children\"in n&&\"children\"in l){const o=rn({type:\"root\",children:n.children},e.options);l.children=o.children}sn({type:\"comment\",value:{stitch:l}},e)}function sn(n,e){const l=n.value,o={type:z.COMMENT,data:l,location:D(n)};L(e,x(n)),e.parser.currentToken=o,e.parser._processToken(e.parser.currentToken)}function Wn(n,e){if(e.parser.tokenizer.preprocessor.html=\"\",e.parser.tokenizer.preprocessor.pos=-1,e.parser.tokenizer.preprocessor.lastGapPos=-2,e.parser.tokenizer.preprocessor.gapStack=[],e.parser.tokenizer.preprocessor.skipNextNewLine=!1,e.parser.tokenizer.preprocessor.lastChunkWritten=!1,e.parser.tokenizer.preprocessor.endOfChunkHit=!1,e.parser.tokenizer.preprocessor.isEol=!1,un(e,x(n)),e.parser.tokenizer.write(e.options.tagfilter?n.value.replace(Fn,\"<$1$2\"):n.value,!1),e.parser.tokenizer._runParsingLoop(),e.parser.tokenizer.state===72||e.parser.tokenizer.state===78){e.parser.tokenizer.preprocessor.lastChunkWritten=!0;const l=e.parser.tokenizer._consume();e.parser.tokenizer._callState(l)}}function Xn(n,e){const l=n;if(e.options.passThrough&&e.options.passThrough.includes(l.type))jn(l,e);else{let o=\"\";throw Hn.has(l.type)&&(o=\". It looks like you are using MDX nodes with `hast-util-raw` (or `rehype-raw`). If you use this because you are using remark or rehype plugins that inject `'html'` nodes, then please raise an issue with that plugin, as its a bad and slow idea. If you use this because you are using markdown syntax, then you have to configure this utility (or plugin) to pass through these nodes (see `passThrough` in docs), but you can also migrate to use the MDX syntax\"),new Error(\"Cannot compile `\"+l.type+\"` node\"+o)}}function L(n,e){un(n,e);const l=n.parser.tokenizer.currentCharacterToken;l&&l.location&&(l.location.endLine=n.parser.tokenizer.preprocessor.line,l.location.endCol=n.parser.tokenizer.preprocessor.col+1,l.location.endOffset=n.parser.tokenizer.preprocessor.offset+1,n.parser.currentToken=l,n.parser._processToken(n.parser.currentToken)),n.parser.tokenizer.paused=!1,n.parser.tokenizer.inLoop=!1,n.parser.tokenizer.active=!1,n.parser.tokenizer.returnState=v.DATA,n.parser.tokenizer.charRefCode=-1,n.parser.tokenizer.consumedAfterSnapshot=-1,n.parser.tokenizer.currentLocation=null,n.parser.tokenizer.currentCharacterToken=null,n.parser.tokenizer.currentToken=null,n.parser.tokenizer.currentAttr={name:\"\",value:\"\"}}function un(n,e){if(e&&e.offset!==void 0){const l={startLine:e.line,startCol:e.column,startOffset:e.offset,endLine:-1,endCol:-1,endOffset:-1};n.parser.tokenizer.preprocessor.lineStartPos=-e.column+1,n.parser.tokenizer.preprocessor.droppedBufferSize=e.offset,n.parser.tokenizer.preprocessor.line=e.line,n.parser.tokenizer.currentLocation=l}}function Kn(n,e){const l=n.tagName.toLowerCase();if(e.parser.tokenizer.state===v.PLAINTEXT)return;L(e,x(n));const o=e.parser.openElements.current;let r=\"namespaceURI\"in o?o.namespaceURI:C.html;r===C.html&&l===\"svg\"&&(r=C.svg);const a=Mn({...n,children:[]},{space:r===C.svg?\"svg\":\"html\"}),s={type:z.START_TAG,tagName:l,tagID:K(l),selfClosing:!1,ackSelfClosing:!1,attrs:\"attrs\"in a?a.attrs:[],location:D(n)};e.parser.currentToken=s,e.parser._processToken(e.parser.currentToken),e.parser.tokenizer.lastStartTagName=l}function Yn(n,e){const l=n.tagName.toLowerCase();if(!e.parser.tokenizer.inForeignNode&&kn.includes(l)||e.parser.tokenizer.state===v.PLAINTEXT)return;L(e,W(n));const o={type:z.END_TAG,tagName:l,tagID:K(l),selfClosing:!1,ackSelfClosing:!1,attrs:[],location:D(n)};e.parser.currentToken=o,e.parser._processToken(e.parser.currentToken),l===e.parser.tokenizer.lastStartTagName&&(e.parser.tokenizer.state===v.RCDATA||e.parser.tokenizer.state===v.RAWTEXT||e.parser.tokenizer.state===v.SCRIPT_DATA)&&(e.parser.tokenizer.state=v.DATA)}function Gn(n){const e=n.type===\"root\"?n.children[0]:n;return!!(e&&(e.type===\"doctype\"||e.type===\"element\"&&e.tagName.toLowerCase()===\"html\"))}function D(n){const e=x(n)||{line:void 0,column:void 0,offset:void 0},l=W(n)||{line:void 0,column:void 0,offset:void 0};return{startLine:e.line,startCol:e.column,startOffset:e.offset,endLine:l.line,endCol:l.column,endOffset:l.offset}}function Jn(n){return\"children\"in n?R({...n,children:[]}):R(n)}function ce(n){return function(e,l){return rn(e,{...n,file:l})}}const b=[\"ariaDescribedBy\",\"ariaLabel\",\"ariaLabelledBy\"],$={ancestors:{tbody:[\"table\"],td:[\"table\"],th:[\"table\"],thead:[\"table\"],tfoot:[\"table\"],tr:[\"table\"]},attributes:{a:[...b,\"dataFootnoteBackref\",\"dataFootnoteRef\",[\"className\",\"data-footnote-backref\"],\"href\"],blockquote:[\"cite\"],code:[[\"className\",/^language-./]],del:[\"cite\"],div:[\"itemScope\",\"itemType\"],dl:[...b],h2:[[\"className\",\"sr-only\"]],img:[...b,\"longDesc\",\"src\"],input:[[\"disabled\",!0],[\"type\",\"checkbox\"]],ins:[\"cite\"],li:[[\"className\",\"task-list-item\"]],ol:[...b,[\"className\",\"contains-task-list\"]],q:[\"cite\"],section:[\"dataFootnotes\",[\"className\",\"footnotes\"]],source:[\"srcSet\"],summary:[...b],table:[...b],ul:[...b,[\"className\",\"contains-task-list\"]],\"*\":[\"abbr\",\"accept\",\"acceptCharset\",\"accessKey\",\"action\",\"align\",\"alt\",\"axis\",\"border\",\"cellPadding\",\"cellSpacing\",\"char\",\"charOff\",\"charSet\",\"checked\",\"clear\",\"colSpan\",\"color\",\"cols\",\"compact\",\"coords\",\"dateTime\",\"dir\",\"encType\",\"frame\",\"hSpace\",\"headers\",\"height\",\"hrefLang\",\"htmlFor\",\"id\",\"isMap\",\"itemProp\",\"label\",\"lang\",\"maxLength\",\"media\",\"method\",\"multiple\",\"name\",\"noHref\",\"noShade\",\"noWrap\",\"open\",\"prompt\",\"readOnly\",\"rev\",\"rowSpan\",\"rows\",\"rules\",\"scope\",\"selected\",\"shape\",\"size\",\"span\",\"start\",\"summary\",\"tabIndex\",\"title\",\"useMap\",\"vAlign\",\"value\",\"width\"]},clobber:[\"ariaDescribedBy\",\"ariaLabelledBy\",\"id\",\"name\"],clobberPrefix:\"user-content-\",protocols:{cite:[\"http\",\"https\"],href:[\"http\",\"https\",\"irc\",\"ircs\",\"mailto\",\"xmpp\"],longDesc:[\"http\",\"https\"],src:[\"http\",\"https\"]},required:{input:{disabled:!0,type:\"checkbox\"}},strip:[\"script\"],tagNames:[\"a\",\"b\",\"blockquote\",\"br\",\"code\",\"dd\",\"del\",\"details\",\"div\",\"dl\",\"dt\",\"em\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"hr\",\"i\",\"img\",\"input\",\"ins\",\"kbd\",\"li\",\"ol\",\"p\",\"picture\",\"pre\",\"q\",\"rp\",\"rt\",\"ruby\",\"s\",\"samp\",\"section\",\"source\",\"span\",\"strike\",\"strong\",\"sub\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"tfoot\",\"th\",\"thead\",\"tr\",\"tt\",\"ul\",\"var\"]},k={}.hasOwnProperty;function Zn(n,e){let l={type:\"root\",children:[]};const o={schema:e?{...$,...e}:$,stack:[]},r=cn(o,n);return r&&(Array.isArray(r)?r.length===1?l=r[0]:l.children=r:l=r),l}function cn(n,e){if(e&&typeof e==\"object\"){const l=e;switch(typeof l.type==\"string\"?l.type:\"\"){case\"comment\":return Qn(n,l);case\"doctype\":return ne(n,l);case\"element\":return ee(n,l);case\"root\":return le(n,l);case\"text\":return oe(n,l)}}}function Qn(n,e){if(n.schema.allowComments){const l=typeof e.value==\"string\"?e.value:\"\",o=l.indexOf(\"-->\"),a={type:\"comment\",value:o<0?l:l.slice(0,o)};return M(a,e),a}}function ne(n,e){if(n.schema.allowDoctypes){const l={type:\"doctype\"};return M(l,e),l}}function ee(n,e){const l=typeof e.tagName==\"string\"?e.tagName:\"\";n.stack.push(l);const o=pn(n,e.children),r=te(n,e.properties);n.stack.pop();let a=!1;if(l&&l!==\"*\"&&(!n.schema.tagNames||n.schema.tagNames.includes(l))&&(a=!0,n.schema.ancestors&&k.call(n.schema.ancestors,l))){const p=n.schema.ancestors[l];let h=-1;for(a=!1;++h1){let r=!1,a=0;for(;++a-1&&a>h||s>-1&&a>s||p>-1&&a>p)return!0;let d=-1;for(;++d4&&e.slice(0,4).toLowerCase()===\"data\")return l}function pe(n){return function(e){return Zn(e,n)}}export{pe as a,$ as d,kn as h,ce as r};\n" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": 0, + "_transferSize": 0, + "_error": null, + "_fetchedViaServiceWorker": false, + "_fulfilledBy": "(disk cache)" + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:31.289Z", + "time": 22.5109999992128, + "timings": { + "blocked": 11.42299999990879, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0, + "wait": 2.974000000008381, + "receive": 8.113999999295629, + "_blocked_queueing": 2.9629999999087886, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/index-BGV5Fhju.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqu" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE39B7F773BE0A\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "86bd5077-e01e-00b4-198f-6d0673000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Fri, 12 Dec 2025 19:52:22 GMT" + } + ], + "cookies": [], + "content": { + "size": 164067, + "mimeType": "application/javascript", + "text": "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", + "encoding": "base64" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": 0, + "_transferSize": 0, + "_error": null, + "_fetchedViaServiceWorker": false, + "_fulfilledBy": "(disk cache)" + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:31.289Z", + "time": 30.619000000115193, + "timings": { + "blocked": 11.324999999718507, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0, + "wait": 3.8069999998208948, + "receive": 15.48700000057579, + "_blocked_queueing": 4.028999999718508, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/index-D0qMv9Qi.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqs" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE39B7F78AAEB5\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "78f9f171-001e-002a-3e6c-6d15ad000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Fri, 12 Dec 2025 19:52:22 GMT" + } + ], + "cookies": [], + "content": { + "size": 272770, + "mimeType": "application/javascript", + "text": "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", + "encoding": "base64" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": 0, + "_transferSize": 0, + "_error": null, + "_fetchedViaServiceWorker": false, + "_fulfilledBy": "(disk cache)" + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:31.289Z", + "time": 36.80900000017573, + "timings": { + "blocked": 10.987999999618681, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0, + "wait": 3.763000000086846, + "receive": 22.0580000004702, + "_blocked_queueing": 4.788999999618682, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/default-highlight-CIYkANSg.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqr" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE3C45AF806838\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "be1929d9-701e-0052-77b5-6eb655000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Tue, 16 Dec 2025 01:51:52 GMT" + } + ], + "cookies": [], + "content": { + "size": 898462, + "mimeType": "application/javascript", + "text": "import{h as nc}from\"./highlight-DCOhupYJ.js\";import{o as ac}from\"./chunk-AYJ5UCUI-CHZl0uAp.js\";const rc={hljs:{display:\"block\",overflowX:\"auto\",padding:\"0.5em\",background:\"#F0F0F0\",color:\"#444\"},\"hljs-subst\":{color:\"#444\"},\"hljs-comment\":{color:\"#888888\"},\"hljs-keyword\":{fontWeight:\"bold\"},\"hljs-attribute\":{fontWeight:\"bold\"},\"hljs-selector-tag\":{fontWeight:\"bold\"},\"hljs-meta-keyword\":{fontWeight:\"bold\"},\"hljs-doctag\":{fontWeight:\"bold\"},\"hljs-name\":{fontWeight:\"bold\"},\"hljs-type\":{color:\"#880000\"},\"hljs-string\":{color:\"#880000\"},\"hljs-number\":{color:\"#880000\"},\"hljs-selector-id\":{color:\"#880000\"},\"hljs-selector-class\":{color:\"#880000\"},\"hljs-quote\":{color:\"#880000\"},\"hljs-template-tag\":{color:\"#880000\"},\"hljs-deletion\":{color:\"#880000\"},\"hljs-title\":{color:\"#880000\",fontWeight:\"bold\"},\"hljs-section\":{color:\"#880000\",fontWeight:\"bold\"},\"hljs-regexp\":{color:\"#BC6060\"},\"hljs-symbol\":{color:\"#BC6060\"},\"hljs-variable\":{color:\"#BC6060\"},\"hljs-template-variable\":{color:\"#BC6060\"},\"hljs-link\":{color:\"#BC6060\"},\"hljs-selector-attr\":{color:\"#BC6060\"},\"hljs-selector-pseudo\":{color:\"#BC6060\"},\"hljs-literal\":{color:\"#78A960\"},\"hljs-built_in\":{color:\"#397300\"},\"hljs-bullet\":{color:\"#397300\"},\"hljs-code\":{color:\"#397300\"},\"hljs-addition\":{color:\"#397300\"},\"hljs-meta\":{color:\"#1f7199\"},\"hljs-meta-string\":{color:\"#4d99bf\"},\"hljs-emphasis\":{fontStyle:\"italic\"},\"hljs-strong\":{fontWeight:\"bold\"}};var we={},bt,fi;function ic(){if(fi)return bt;fi=1;function t(m){return m instanceof Map?m.clear=m.delete=m.set=function(){throw new Error(\"map is read-only\")}:m instanceof Set&&(m.add=m.clear=m.delete=function(){throw new Error(\"set is read-only\")}),Object.freeze(m),Object.getOwnPropertyNames(m).forEach(function(R){var I=m[R];typeof I==\"object\"&&!Object.isFrozen(I)&&t(I)}),m}var e=t,r=t;e.default=r;class n{constructor(R){R.data===void 0&&(R.data={}),this.data=R.data,this.isMatchIgnored=!1}ignoreMatch(){this.isMatchIgnored=!0}}function a(m){return m.replace(/&/g,\"&\").replace(//g,\">\").replace(/\"/g,\""\").replace(/'/g,\"'\")}function i(m,...R){const I=Object.create(null);for(const L in m)I[L]=m[L];return R.forEach(function(L){for(const W in L)I[W]=L[W]}),I}const o=\"\",s=m=>!!m.kind;class l{constructor(R,I){this.buffer=\"\",this.classPrefix=I.classPrefix,R.walk(this)}addText(R){this.buffer+=a(R)}openNode(R){if(!s(R))return;let I=R.kind;R.sublanguage||(I=`${this.classPrefix}${I}`),this.span(I)}closeNode(R){s(R)&&(this.buffer+=o)}value(){return this.buffer}span(R){this.buffer+=``}}class _{constructor(){this.rootNode={children:[]},this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(R){this.top.children.push(R)}openNode(R){const I={kind:R,children:[]};this.add(I),this.stack.push(I)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(R){return this.constructor._walk(R,this.rootNode)}static _walk(R,I){return typeof I==\"string\"?R.addText(I):I.children&&(R.openNode(I),I.children.forEach(L=>this._walk(R,L)),R.closeNode(I)),R}static _collapse(R){typeof R!=\"string\"&&R.children&&(R.children.every(I=>typeof I==\"string\")?R.children=[R.children.join(\"\")]:R.children.forEach(I=>{_._collapse(I)}))}}class c extends _{constructor(R){super(),this.options=R}addKeyword(R,I){R!==\"\"&&(this.openNode(I),this.addText(R),this.closeNode())}addText(R){R!==\"\"&&this.add(R)}addSublanguage(R,I){const L=R.root;L.kind=I,L.sublanguage=!0,this.add(L)}toHTML(){return new l(this,this.options).value()}finalize(){return!0}}function E(m){return new RegExp(m.replace(/[-/\\\\^$*+?.()|[\\]{}]/g,\"\\\\$&\"),\"m\")}function d(m){return m?typeof m==\"string\"?m:m.source:null}function u(...m){return m.map(I=>d(I)).join(\"\")}function p(...m){return\"(\"+m.map(I=>d(I)).join(\"|\")+\")\"}function T(m){return new RegExp(m.toString()+\"|\").exec(\"\").length-1}function g(m,R){const I=m&&m.exec(R);return I&&I.index===0}const S=/\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9][0-9]*)|\\\\./;function b(m,R=\"|\"){let I=0;return m.map(L=>{I+=1;const W=I;let V=d(L),ee=\"\";for(;V.length>0;){const v=S.exec(V);if(!v){ee+=V;break}ee+=V.substring(0,v.index),V=V.substring(v.index+v[0].length),v[0][0]===\"\\\\\"&&v[1]?ee+=\"\\\\\"+String(Number(v[1])+W):(ee+=v[0],v[0]===\"(\"&&I++)}return ee}).map(L=>`(${L})`).join(R)}const f=/\\b\\B/,C=\"[a-zA-Z]\\\\w*\",y=\"[a-zA-Z_]\\\\w*\",A=\"\\\\b\\\\d+(\\\\.\\\\d+)?\",O=\"(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)\",P=\"\\\\b(0b[01]+)\",w=\"!|!=|!==|%|%=|&|&&|&=|\\\\*|\\\\*=|\\\\+|\\\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\\\?|\\\\[|\\\\{|\\\\(|\\\\^|\\\\^=|\\\\||\\\\|=|\\\\|\\\\||~\",F=(m={})=>{const R=/^#![ ]*\\//;return m.binary&&(m.begin=u(R,/.*\\b/,m.binary,/\\b.*/)),i({className:\"meta\",begin:R,end:/$/,relevance:0,\"on:begin\":(I,L)=>{I.index!==0&&L.ignoreMatch()}},m)},z={begin:\"\\\\\\\\[\\\\s\\\\S]\",relevance:0},k={className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[z]},Q={className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[z]},le={begin:/\\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\\b/},ne=function(m,R,I={}){const L=i({className:\"comment\",begin:m,end:R,contains:[]},I);return L.contains.push(le),L.contains.push({className:\"doctag\",begin:\"(?:TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):\",relevance:0}),L},X=ne(\"//\",\"$\"),Z=ne(\"/\\\\*\",\"\\\\*/\"),ie=ne(\"#\",\"$\"),oe={className:\"number\",begin:A,relevance:0},ce={className:\"number\",begin:O,relevance:0},ye={className:\"number\",begin:P,relevance:0},ke={className:\"number\",begin:A+\"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?\",relevance:0},pe={begin:/(?=\\/[^/\\n]*\\/)/,contains:[{className:\"regexp\",begin:/\\//,end:/\\/[gimuy]*/,illegal:/\\n/,contains:[z,{begin:/\\[/,end:/\\]/,relevance:0,contains:[z]}]}]},ge={className:\"title\",begin:C,relevance:0},be={className:\"title\",begin:y,relevance:0},_e={begin:\"\\\\.\\\\s*\"+y,relevance:0};var de=Object.freeze({__proto__:null,MATCH_NOTHING_RE:f,IDENT_RE:C,UNDERSCORE_IDENT_RE:y,NUMBER_RE:A,C_NUMBER_RE:O,BINARY_NUMBER_RE:P,RE_STARTERS_RE:w,SHEBANG:F,BACKSLASH_ESCAPE:z,APOS_STRING_MODE:k,QUOTE_STRING_MODE:Q,PHRASAL_WORDS_MODE:le,COMMENT:ne,C_LINE_COMMENT_MODE:X,C_BLOCK_COMMENT_MODE:Z,HASH_COMMENT_MODE:ie,NUMBER_MODE:oe,C_NUMBER_MODE:ce,BINARY_NUMBER_MODE:ye,CSS_NUMBER_MODE:ke,REGEXP_MODE:pe,TITLE_MODE:ge,UNDERSCORE_TITLE_MODE:be,METHOD_GUARD:_e,END_SAME_AS_BEGIN:function(m){return Object.assign(m,{\"on:begin\":(R,I)=>{I.data._beginMatch=R[1]},\"on:end\":(R,I)=>{I.data._beginMatch!==R[1]&&I.ignoreMatch()}})}});function he(m,R){m.input[m.index-1]===\".\"&&R.ignoreMatch()}function Re(m,R){R&&m.beginKeywords&&(m.begin=\"\\\\b(\"+m.beginKeywords.split(\" \").join(\"|\")+\")(?!\\\\.)(?=\\\\b|\\\\s)\",m.__beforeBegin=he,m.keywords=m.keywords||m.beginKeywords,delete m.beginKeywords,m.relevance===void 0&&(m.relevance=0))}function fe(m,R){Array.isArray(m.illegal)&&(m.illegal=p(...m.illegal))}function Ne(m,R){if(m.match){if(m.begin||m.end)throw new Error(\"begin & end are not supported with match\");m.begin=m.match,delete m.match}}function He(m,R){m.relevance===void 0&&(m.relevance=1)}const je=[\"of\",\"and\",\"for\",\"in\",\"not\",\"or\",\"if\",\"then\",\"parent\",\"list\",\"value\"],et=\"keyword\";function Me(m,R,I=et){const L={};return typeof m==\"string\"?W(I,m.split(\" \")):Array.isArray(m)?W(I,m):Object.keys(m).forEach(function(V){Object.assign(L,Me(m[V],R,V))}),L;function W(V,ee){R&&(ee=ee.map(v=>v.toLowerCase())),ee.forEach(function(v){const M=v.split(\"|\");L[M[0]]=[V,tt(M[0],M[1])]})}}function tt(m,R){return R?Number(R):nt(m)?0:1}function nt(m){return je.includes(m.toLowerCase())}function at(m,{plugins:R}){function I(v,M){return new RegExp(d(v),\"m\"+(m.case_insensitive?\"i\":\"\")+(M?\"g\":\"\"))}class L{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(M,U){U.position=this.position++,this.matchIndexes[this.matchAt]=U,this.regexes.push([U,M]),this.matchAt+=T(M)+1}compile(){this.regexes.length===0&&(this.exec=()=>null);const M=this.regexes.map(U=>U[1]);this.matcherRe=I(b(M),!0),this.lastIndex=0}exec(M){this.matcherRe.lastIndex=this.lastIndex;const U=this.matcherRe.exec(M);if(!U)return null;const B=U.findIndex((Ce,st)=>st>0&&Ce!==void 0),J=this.matchIndexes[B];return U.splice(0,B),Object.assign(U,J)}}class W{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(M){if(this.multiRegexes[M])return this.multiRegexes[M];const U=new L;return this.rules.slice(M).forEach(([B,J])=>U.addRule(B,J)),U.compile(),this.multiRegexes[M]=U,U}resumingScanAtSamePosition(){return this.regexIndex!==0}considerAll(){this.regexIndex=0}addRule(M,U){this.rules.push([M,U]),U.type===\"begin\"&&this.count++}exec(M){const U=this.getMatcher(this.regexIndex);U.lastIndex=this.lastIndex;let B=U.exec(M);if(this.resumingScanAtSamePosition()&&!(B&&B.index===this.lastIndex)){const J=this.getMatcher(0);J.lastIndex=this.lastIndex+1,B=J.exec(M)}return B&&(this.regexIndex+=B.position+1,this.regexIndex===this.count&&this.considerAll()),B}}function V(v){const M=new W;return v.contains.forEach(U=>M.addRule(U.begin,{rule:U,type:\"begin\"})),v.terminatorEnd&&M.addRule(v.terminatorEnd,{type:\"end\"}),v.illegal&&M.addRule(v.illegal,{type:\"illegal\"}),M}function ee(v,M){const U=v;if(v.isCompiled)return U;[Ne].forEach(J=>J(v,M)),m.compilerExtensions.forEach(J=>J(v,M)),v.__beforeBegin=null,[Re,fe,He].forEach(J=>J(v,M)),v.isCompiled=!0;let B=null;if(typeof v.keywords==\"object\"&&(B=v.keywords.$pattern,delete v.keywords.$pattern),v.keywords&&(v.keywords=Me(v.keywords,m.case_insensitive)),v.lexemes&&B)throw new Error(\"ERR: Prefer `keywords.$pattern` to `mode.lexemes`, BOTH are not allowed. (see mode reference) \");return B=B||v.lexemes||/\\w+/,U.keywordPatternRe=I(B,!0),M&&(v.begin||(v.begin=/\\B|\\b/),U.beginRe=I(v.begin),v.endSameAsBegin&&(v.end=v.begin),!v.end&&!v.endsWithParent&&(v.end=/\\B|\\b/),v.end&&(U.endRe=I(v.end)),U.terminatorEnd=d(v.end)||\"\",v.endsWithParent&&M.terminatorEnd&&(U.terminatorEnd+=(v.end?\"|\":\"\")+M.terminatorEnd)),v.illegal&&(U.illegalRe=I(v.illegal)),v.contains||(v.contains=[]),v.contains=[].concat(...v.contains.map(function(J){return Se(J===\"self\"?v:J)})),v.contains.forEach(function(J){ee(J,U)}),v.starts&&ee(v.starts,M),U.matcher=V(U),U}if(m.compilerExtensions||(m.compilerExtensions=[]),m.contains&&m.contains.includes(\"self\"))throw new Error(\"ERR: contains `self` is not supported at the top-level of a language. See documentation.\");return m.classNameAliases=i(m.classNameAliases||{}),ee(m)}function Ae(m){return m?m.endsWithParent||Ae(m.starts):!1}function Se(m){return m.variants&&!m.cachedVariants&&(m.cachedVariants=m.variants.map(function(R){return i(m,{variants:null},R)})),m.cachedVariants?m.cachedVariants:Ae(m)?i(m,{starts:m.starts?i(m.starts):null}):Object.isFrozen(m)?i(m):m}var rt=\"10.7.3\";function it(m){return!!(m||m===\"\")}function Ve(m){const R={props:[\"language\",\"code\",\"autodetect\"],data:function(){return{detectedLanguage:\"\",unknownLanguage:!1}},computed:{className(){return this.unknownLanguage?\"\":\"hljs \"+this.detectedLanguage},highlighted(){if(!this.autoDetect&&!m.getLanguage(this.language))return console.warn(`The language \"${this.language}\" you specified could not be found.`),this.unknownLanguage=!0,a(this.code);let L={};return this.autoDetect?(L=m.highlightAuto(this.code),this.detectedLanguage=L.language):(L=m.highlight(this.language,this.code,this.ignoreIllegals),this.detectedLanguage=this.language),L.value},autoDetect(){return!this.language||it(this.autodetect)},ignoreIllegals(){return!0}},render(L){return L(\"pre\",{},[L(\"code\",{class:this.className,domProps:{innerHTML:this.highlighted}})])}};return{Component:R,VuePlugin:{install(L){L.component(\"highlightjs\",R)}}}}const ot={\"after:highlightElement\":({el:m,result:R,text:I})=>{const L=We(m);if(!L.length)return;const W=document.createElement(\"div\");W.innerHTML=R.value,R.value=ze(L,We(W),I)}};function Le(m){return m.nodeName.toLowerCase()}function We(m){const R=[];return(function I(L,W){for(let V=L.firstChild;V;V=V.nextSibling)V.nodeType===3?W+=V.nodeValue.length:V.nodeType===1&&(R.push({event:\"start\",offset:W,node:V}),W=I(V,W),Le(V).match(/br|hr|img|input/)||R.push({event:\"stop\",offset:W,node:V}));return W})(m,0),R}function ze(m,R,I){let L=0,W=\"\";const V=[];function ee(){return!m.length||!R.length?m.length?m:R:m[0].offset!==R[0].offset?m[0].offset\"}function M(B){W+=\"\"}function U(B){(B.event===\"start\"?v:M)(B.node)}for(;m.length||R.length;){let B=ee();if(W+=a(I.substring(L,B[0].offset)),L=B[0].offset,B===m){V.reverse().forEach(M);do U(B.splice(0,1)[0]),B=ee();while(B===m&&B.length&&B[0].offset===L);V.reverse().forEach(v)}else B[0].event===\"start\"?V.push(B[0].node):V.pop(),U(B.splice(0,1)[0])}return W+a(I.substr(L))}const Ke={},Ue=m=>{console.error(m)},$e=(m,...R)=>{console.log(`WARN: ${m}`,...R)},se=(m,R)=>{Ke[`${m}/${R}`]||(console.log(`Deprecated as of ${m}. ${R}`),Ke[`${m}/${R}`]=!0)},K=a,Fe=i,Be=Symbol(\"nomatch\");var jr=function(m){const R=Object.create(null),I=Object.create(null),L=[];let W=!0;const V=/(^(<[^>]+>|\\t|)+|\\n)/gm,ee=\"Could not find the language '{}', did you forget to load/include a language module?\",v={disableAutodetect:!0,name:\"Plain text\",contains:[]};let M={noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\\blang(?:uage)?-([\\w-]+)\\b/i,classPrefix:\"hljs-\",tabReplace:null,useBR:!1,languages:null,__emitter:c};function U(N){return M.noHighlightRe.test(N)}function B(N){let D=N.className+\" \";D+=N.parentNode?N.parentNode.className:\"\";const q=M.languageDetectRe.exec(D);if(q){const $=Te(q[1]);return $||($e(ee.replace(\"{}\",q[1])),$e(\"Falling back to no-highlight mode for this block.\",N)),$?q[1]:\"no-highlight\"}return D.split(/\\s+/).find($=>U($)||Te($))}function J(N,D,q,$){let te=\"\",ve=\"\";typeof D==\"object\"?(te=N,q=D.ignoreIllegals,ve=D.language,$=void 0):(se(\"10.7.0\",\"highlight(lang, code, ...args) has been deprecated.\"),se(\"10.7.0\",`Please use highlight(code, options) instead.\nhttps://github.com/highlightjs/highlight.js/issues/2277`),ve=N,te=D);const ue={code:te,language:ve};Xe(\"before:highlight\",ue);const me=ue.result?ue.result:Ce(ue.language,ue.code,q,$);return me.code=ue.code,Xe(\"after:highlight\",me),me}function Ce(N,D,q,$){function te(h,x){const G=xe.case_insensitive?x[0].toLowerCase():x[0];return Object.prototype.hasOwnProperty.call(h.keywords,G)&&h.keywords[G]}function ve(){if(!Y.keywords){re.addText(j);return}let h=0;Y.keywordPatternRe.lastIndex=0;let x=Y.keywordPatternRe.exec(j),G=\"\";for(;x;){G+=j.substring(h,x.index);const H=te(Y,x);if(H){const[ae,Pe]=H;if(re.addText(G),G=\"\",Ge+=Pe,ae.startsWith(\"_\"))G+=x[0];else{const ut=xe.classNameAliases[ae]||ae;re.addKeyword(x[0],ut)}}else G+=x[0];h=Y.keywordPatternRe.lastIndex,x=Y.keywordPatternRe.exec(j)}G+=j.substr(h),re.addText(G)}function ue(){if(j===\"\")return;let h=null;if(typeof Y.subLanguage==\"string\"){if(!R[Y.subLanguage]){re.addText(j);return}h=Ce(Y.subLanguage,j,!0,dt[Y.subLanguage]),dt[Y.subLanguage]=h.top}else h=lt(j,Y.subLanguage.length?Y.subLanguage:null);Y.relevance>0&&(Ge+=h.relevance),re.addSublanguage(h.emitter,h.language)}function me(){Y.subLanguage!=null?ue():ve(),j=\"\"}function Ee(h){return h.className&&re.openNode(xe.classNameAliases[h.className]||h.className),Y=Object.create(h,{parent:{value:Y}}),Y}function Oe(h,x,G){let H=g(h.endRe,G);if(H){if(h[\"on:end\"]){const ae=new n(h);h[\"on:end\"](x,ae),ae.isMatchIgnored&&(H=!1)}if(H){for(;h.endsParent&&h.parent;)h=h.parent;return h}}if(h.endsWithParent)return Oe(h.parent,x,G)}function gi(h){return Y.matcher.regexIndex===0?(j+=h[0],1):(qe=!0,0)}function Si(h){const x=h[0],G=h.rule,H=new n(G),ae=[G.__beforeBegin,G[\"on:begin\"]];for(const Pe of ae)if(Pe&&(Pe(h,H),H.isMatchIgnored))return gi(x);return G&&G.endSameAsBegin&&(G.endRe=E(x)),G.skip?j+=x:(G.excludeBegin&&(j+=x),me(),!G.returnBegin&&!G.excludeBegin&&(j=x)),Ee(G),G.returnBegin?0:x.length}function Ti(h){const x=h[0],G=D.substr(h.index),H=Oe(Y,h,G);if(!H)return Be;const ae=Y;ae.skip?j+=x:(ae.returnEnd||ae.excludeEnd||(j+=x),me(),ae.excludeEnd&&(j=x));do Y.className&&re.closeNode(),!Y.skip&&!Y.subLanguage&&(Ge+=Y.relevance),Y=Y.parent;while(Y!==H.parent);return H.starts&&(H.endSameAsBegin&&(H.starts.endRe=H.endRe),Ee(H.starts)),ae.returnEnd?0:x.length}function bi(){const h=[];for(let x=Y;x!==xe;x=x.parent)x.className&&h.unshift(x.className);h.forEach(x=>re.openNode(x))}let Ze={};function St(h,x){const G=x&&x[0];if(j+=h,G==null)return me(),0;if(Ze.type===\"begin\"&&x.type===\"end\"&&Ze.index===x.index&&G===\"\"){if(j+=D.slice(x.index,x.index+1),!W){const H=new Error(\"0 width match regex\");throw H.languageName=N,H.badRule=Ze.rule,H}return 1}if(Ze=x,x.type===\"begin\")return Si(x);if(x.type===\"illegal\"&&!q){const H=new Error('Illegal lexeme \"'+G+'\" for mode \"'+(Y.className||\"\")+'\"');throw H.mode=Y,H}else if(x.type===\"end\"){const H=Ti(x);if(H!==Be)return H}if(x.type===\"illegal\"&&G===\"\")return 1;if(Ye>1e5&&Ye>x.index*3)throw new Error(\"potential infinite loop, way more iterations than matches\");return j+=G,G.length}const xe=Te(N);if(!xe)throw Ue(ee.replace(\"{}\",N)),new Error('Unknown language: \"'+N+'\"');const Tt=at(xe,{plugins:L});let Je=\"\",Y=$||Tt;const dt={},re=new M.__emitter(M);bi();let j=\"\",Ge=0,Ie=0,Ye=0,qe=!1;try{for(Y.matcher.considerAll();;){Ye++,qe?qe=!1:Y.matcher.considerAll(),Y.matcher.lastIndex=Ie;const h=Y.matcher.exec(D);if(!h)break;const x=D.substring(Ie,h.index),G=St(x,h);Ie=h.index+G}return St(D.substr(Ie)),re.closeAllNodes(),re.finalize(),Je=re.toHTML(),{relevance:Math.floor(Ge),value:Je,language:N,illegal:!1,emitter:re,top:Y}}catch(h){if(h.message&&h.message.includes(\"Illegal\"))return{illegal:!0,illegalBy:{msg:h.message,context:D.slice(Ie-100,Ie+100),mode:h.mode},sofar:Je,relevance:0,value:K(D),emitter:re};if(W)return{illegal:!1,relevance:0,value:K(D),emitter:re,language:N,top:Y,errorRaised:h};throw h}}function st(N){const D={relevance:0,emitter:new M.__emitter(M),value:K(N),illegal:!1,top:v};return D.emitter.addText(N),D}function lt(N,D){D=D||M.languages||Object.keys(R);const q=st(N),$=D.filter(Te).filter(gt).map(Ee=>Ce(Ee,N,!1));$.unshift(q);const te=$.sort((Ee,Oe)=>{if(Ee.relevance!==Oe.relevance)return Oe.relevance-Ee.relevance;if(Ee.language&&Oe.language){if(Te(Ee.language).supersetOf===Oe.language)return 1;if(Te(Oe.language).supersetOf===Ee.language)return-1}return 0}),[ve,ue]=te,me=ve;return me.second_best=ue,me}function ei(N){return M.tabReplace||M.useBR?N.replace(V,D=>D===`\n`?M.useBR?\"
\":D:M.tabReplace?D.replace(/\\t/g,M.tabReplace):D):N}function ti(N,D,q){const $=D?I[D]:q;N.classList.add(\"hljs\"),$&&N.classList.add($)}const ni={\"before:highlightElement\":({el:N})=>{M.useBR&&(N.innerHTML=N.innerHTML.replace(/\\n/g,\"\").replace(//g,`\n`))},\"after:highlightElement\":({result:N})=>{M.useBR&&(N.value=N.value.replace(/\\n/g,\"
\"))}},ai=/^(<[^>]+>|\\t)+/gm,ri={\"after:highlightElement\":({result:N})=>{M.tabReplace&&(N.value=N.value.replace(ai,D=>D.replace(/\\t/g,M.tabReplace)))}};function Qe(N){let D=null;const q=B(N);if(U(q))return;Xe(\"before:highlightElement\",{el:N,language:q}),D=N;const $=D.textContent,te=q?J($,{language:q,ignoreIllegals:!0}):lt($);Xe(\"after:highlightElement\",{el:N,result:te,text:$}),N.innerHTML=te.value,ti(N,q,te.language),N.result={language:te.language,re:te.relevance,relavance:te.relevance},te.second_best&&(N.second_best={language:te.second_best.language,re:te.second_best.relevance,relavance:te.second_best.relevance})}function ii(N){N.useBR&&(se(\"10.3.0\",\"'useBR' will be removed entirely in v11.0\"),se(\"10.3.0\",\"Please see https://github.com/highlightjs/highlight.js/issues/2559\")),M=Fe(M,N)}const ct=()=>{if(ct.called)return;ct.called=!0,se(\"10.6.0\",\"initHighlighting() is deprecated. Use highlightAll() instead.\"),document.querySelectorAll(\"pre code\").forEach(Qe)};function oi(){se(\"10.6.0\",\"initHighlightingOnLoad() is deprecated. Use highlightAll() instead.\"),_t=!0}let _t=!1;function Et(){if(document.readyState===\"loading\"){_t=!0;return}document.querySelectorAll(\"pre code\").forEach(Qe)}function si(){_t&&Et()}typeof window<\"u\"&&window.addEventListener&&window.addEventListener(\"DOMContentLoaded\",si,!1);function li(N,D){let q=null;try{q=D(m)}catch($){if(Ue(\"Language definition for '{}' could not be registered.\".replace(\"{}\",N)),W)Ue($);else throw $;q=v}q.name||(q.name=N),R[N]=q,q.rawDefinition=D.bind(null,m),q.aliases&&pt(q.aliases,{languageName:N})}function ci(N){delete R[N];for(const D of Object.keys(I))I[D]===N&&delete I[D]}function _i(){return Object.keys(R)}function di(N){se(\"10.4.0\",\"requireLanguage will be removed entirely in v11.\"),se(\"10.4.0\",\"Please see https://github.com/highlightjs/highlight.js/pull/2844\");const D=Te(N);if(D)return D;throw new Error(\"The '{}' language is required, but not loaded.\".replace(\"{}\",N))}function Te(N){return N=(N||\"\").toLowerCase(),R[N]||R[I[N]]}function pt(N,{languageName:D}){typeof N==\"string\"&&(N=[N]),N.forEach(q=>{I[q.toLowerCase()]=D})}function gt(N){const D=Te(N);return D&&!D.disableAutodetect}function ui(N){N[\"before:highlightBlock\"]&&!N[\"before:highlightElement\"]&&(N[\"before:highlightElement\"]=D=>{N[\"before:highlightBlock\"](Object.assign({block:D.el},D))}),N[\"after:highlightBlock\"]&&!N[\"after:highlightElement\"]&&(N[\"after:highlightElement\"]=D=>{N[\"after:highlightBlock\"](Object.assign({block:D.el},D))})}function mi(N){ui(N),L.push(N)}function Xe(N,D){const q=N;L.forEach(function($){$[q]&&$[q](D)})}function Ei(N){return se(\"10.2.0\",\"fixMarkup will be removed entirely in v11.0\"),se(\"10.2.0\",\"Please see https://github.com/highlightjs/highlight.js/issues/2534\"),ei(N)}function pi(N){return se(\"10.7.0\",\"highlightBlock will be removed entirely in v12.0\"),se(\"10.7.0\",\"Please use highlightElement now.\"),Qe(N)}Object.assign(m,{highlight:J,highlightAuto:lt,highlightAll:Et,fixMarkup:Ei,highlightElement:Qe,highlightBlock:pi,configure:ii,initHighlighting:ct,initHighlightingOnLoad:oi,registerLanguage:li,unregisterLanguage:ci,listLanguages:_i,getLanguage:Te,registerAliases:pt,requireLanguage:di,autoDetection:gt,inherit:Fe,addPlugin:mi,vuePlugin:Ve(m).VuePlugin}),m.debugMode=function(){W=!1},m.safeMode=function(){W=!0},m.versionString=rt;for(const N in de)typeof de[N]==\"object\"&&e(de[N]);return Object.assign(m,de),m.addPlugin(ni),m.addPlugin(ot),m.addPlugin(ri),m}({});return bt=jr,bt}var Rt={exports:{}},Ni;function oc(){return Ni||(Ni=1,(function(t){(function(){var e;e=t.exports=a,e.format=a,e.vsprintf=n,typeof console<\"u\"&&typeof console.log==\"function\"&&(e.printf=r);function r(){console.log(a.apply(null,arguments))}function n(i,o){return a.apply(null,[i].concat(o))}function a(i){for(var o=1,s=[].slice.call(arguments),l=0,_=i.length,c=\"\",E,d=!1,u,p,T=!1,g,S=function(){return s[o++]},b=function(){for(var f=\"\";/\\d/.test(i[l]);)f+=i[l++],E=i[l];return f.length>0?parseInt(f):null};l<_;++l)if(E=i[l],d)switch(d=!1,E==\".\"?(T=!1,E=i[++l]):E==\"0\"&&i[l+1]==\".\"?(T=!0,l+=2,E=i[l]):T=!0,g=b(),E){case\"b\":c+=parseInt(S(),10).toString(2);break;case\"c\":u=S(),typeof u==\"string\"||u instanceof String?c+=u:c+=String.fromCharCode(parseInt(u,10));break;case\"d\":c+=parseInt(S(),10);break;case\"f\":p=String(parseFloat(S()).toFixed(g||6)),c+=T?p:p.replace(/^0/,\"\");break;case\"j\":c+=JSON.stringify(S());break;case\"o\":c+=\"0\"+parseInt(S(),10).toString(8);break;case\"s\":c+=S();break;case\"x\":c+=\"0x\"+parseInt(S(),10).toString(16);break;case\"X\":c+=\"0x\"+parseInt(S(),10).toString(16).toUpperCase();break;default:c+=E;break}else E===\"%\"?d=!0:c+=E;return c}})()})(Rt)),Rt.exports}var ft,Ci;function sc(){if(Ci)return ft;Ci=1;var t=oc(),e=r(Error);ft=e,e.eval=r(EvalError),e.range=r(RangeError),e.reference=r(ReferenceError),e.syntax=r(SyntaxError),e.type=r(TypeError),e.uri=r(URIError),e.create=r;function r(n){return a.displayName=n.displayName||n.name,a;function a(i){return i&&(i=t.apply(null,arguments)),new n(i)}}return ft}var Oi;function lc(){if(Oi)return we;Oi=1;var t=ic(),e=sc();we.highlight=n,we.highlightAuto=a,we.registerLanguage=i,we.listLanguages=o,we.registerAlias=s,l.prototype.addText=E,l.prototype.addKeyword=_,l.prototype.addSublanguage=c,l.prototype.openNode=d,l.prototype.closeNode=u,l.prototype.closeAllNodes=T,l.prototype.finalize=T,l.prototype.toHTML=p;var r=\"hljs-\";function n(g,S,b){var f=t.configure({}),C=b||{},y=C.prefix,A;if(typeof g!=\"string\")throw e(\"Expected `string` for name, got `%s`\",g);if(!t.getLanguage(g))throw e(\"Unknown language: `%s` is not registered\",g);if(typeof S!=\"string\")throw e(\"Expected `string` for value, got `%s`\",S);if(y==null&&(y=r),t.configure({__emitter:l,classPrefix:y}),A=t.highlight(S,{language:g,ignoreIllegals:!0}),t.configure(f||{}),A.errorRaised)throw A.errorRaised;return{relevance:A.relevance,language:A.language,value:A.emitter.rootNode.children}}function a(g,S){var b=S||{},f=b.subset||t.listLanguages();b.prefix;var C=f.length,y=-1,A,O,P,w;if(typeof g!=\"string\")throw e(\"Expected `string` for value, got `%s`\",g);for(O={relevance:0,language:null,value:[]},A={relevance:0,language:null,value:[]};++yO.relevance&&(O=P),P.relevance>A.relevance&&(O=A,A=P));return O.language&&(A.secondBest=O),A}function i(g,S){t.registerLanguage(g,S)}function o(){return t.listLanguages()}function s(g,S){var b=g,f;S&&(b={},b[g]=S);for(f in b)t.registerAliases(b[f],{languageName:f})}function l(g){this.options=g,this.rootNode={children:[]},this.stack=[this.rootNode]}function _(g,S){this.openNode(S),this.addText(g),this.closeNode()}function c(g,S){var b=this.stack,f=b[b.length-1],C=g.rootNode.children,y=S?{type:\"element\",tagName:\"span\",properties:{className:[S]},children:C}:C;f.children=f.children.concat(y)}function E(g){var S=this.stack,b,f;g!==\"\"&&(b=S[S.length-1],f=b.children[b.children.length-1],f&&f.type===\"text\"?f.value+=g:b.children.push({type:\"text\",value:g}))}function d(g){var S=this.stack,b=this.options.classPrefix+g,f=S[S.length-1],C={type:\"element\",tagName:\"span\",properties:{className:[b]},children:[]};f.children.push(C),S.push(C)}function u(){this.stack.pop()}function p(){return\"\"}function T(){}return we}var Nt,Ii;function cc(){if(Ii)return Nt;Ii=1;function t(e){var r=\"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+\",n=\"далее \",a=\"возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт \",i=n+a,o=\"загрузитьизфайла \",s=\"вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент \",l=o+s,_=\"разделительстраниц разделительстрок символтабуляции \",c=\"ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон \",E=\"acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища \",d=\"wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек \",u=_+c+E+d,p=\"webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля \",T=\"автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы \",g=\"виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента \",S=\"авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных \",b=\"использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц \",f=\"отображениевремениэлементовпланировщика \",C=\"типфайлаформатированногодокумента \",y=\"обходрезультатазапроса типзаписизапроса \",A=\"видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов \",O=\"доступкфайлу режимдиалогавыборафайла режимоткрытияфайла \",P=\"типизмеренияпостроителязапроса \",w=\"видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений \",F=\"wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson \",z=\"видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных \",k=\"важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения \",Q=\"режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации \",le=\"расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии \",ne=\"кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip \",X=\"звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp \",Z=\"направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса \",ie=\"httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений \",oe=\"важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты\",ce=p+T+g+S+b+f+C+y+A+O+P+w+F+z+k+Q+le+ne+X+Z+ie+oe,ye=\"comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных \",ke=\"comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив \",pe=ye+ke,ge=\"null истина ложь неопределено\",be=e.inherit(e.NUMBER_MODE),_e={className:\"string\",begin:'\"|\\\\|',end:'\"|$',contains:[{begin:'\"\"'}]},De={begin:\"'\",end:\"'\",excludeBegin:!0,excludeEnd:!0,contains:[{className:\"number\",begin:\"\\\\d{4}([\\\\.\\\\\\\\/:-]?\\\\d{2}){0,5}\"}]},de=e.inherit(e.C_LINE_COMMENT_MODE),he={className:\"meta\",begin:\"#|&\",end:\"$\",keywords:{$pattern:r,\"meta-keyword\":i+l},contains:[de]},Re={className:\"symbol\",begin:\"~\",end:\";|:\",excludeEnd:!0},fe={className:\"function\",variants:[{begin:\"процедура|функция\",end:\"\\\\)\",keywords:\"процедура функция\"},{begin:\"конецпроцедуры|конецфункции\",keywords:\"конецпроцедуры конецфункции\"}],contains:[{begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,contains:[{className:\"params\",begin:r,end:\",\",excludeEnd:!0,endsWithParent:!0,keywords:{$pattern:r,keyword:\"знач\",literal:ge},contains:[be,_e,De]},de]},e.inherit(e.TITLE_MODE,{begin:r})]};return{name:\"1C:Enterprise\",case_insensitive:!0,keywords:{$pattern:r,keyword:i,built_in:u,class:ce,type:pe,literal:ge},contains:[he,fe,de,Re,be,_e,De]}}return Nt=t,Nt}var Ct,Ai;function _c(){if(Ai)return Ct;Ai=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={ruleDeclaration:/^[a-zA-Z][a-zA-Z0-9-]*/,unexpectedChars:/[!@#$^&',?+~`|:]/},i=[\"ALPHA\",\"BIT\",\"CHAR\",\"CR\",\"CRLF\",\"CTL\",\"DIGIT\",\"DQUOTE\",\"HEXDIG\",\"HTAB\",\"LF\",\"LWSP\",\"OCTET\",\"SP\",\"VCHAR\",\"WSP\"],o=n.COMMENT(/;/,/$/),s={className:\"symbol\",begin:/%b[0-1]+(-[0-1]+|(\\.[0-1]+)+){0,1}/},l={className:\"symbol\",begin:/%d[0-9]+(-[0-9]+|(\\.[0-9]+)+){0,1}/},_={className:\"symbol\",begin:/%x[0-9A-F]+(-[0-9A-F]+|(\\.[0-9A-F]+)+){0,1}/},c={className:\"symbol\",begin:/%[si]/},E={className:\"attribute\",begin:e(a.ruleDeclaration,/(?=\\s*=)/)};return{name:\"Augmented Backus-Naur Form\",illegal:a.unexpectedChars,keywords:i,contains:[E,o,s,l,_,c,n.QUOTE_STRING_MODE,n.NUMBER_MODE]}}return Ct=r,Ct}var Ot,vi;function dc(){if(vi)return Ot;vi=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i=[\"GET\",\"POST\",\"HEAD\",\"PUT\",\"DELETE\",\"CONNECT\",\"OPTIONS\",\"PATCH\",\"TRACE\"];return{name:\"Apache Access Log\",contains:[{className:\"number\",begin:/^\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b/,relevance:5},{className:\"number\",begin:/\\b\\d+\\b/,relevance:0},{className:\"string\",begin:e(/\"/,r(...i)),end:/\"/,keywords:i,illegal:/\\n/,relevance:5,contains:[{begin:/HTTP\\/[12]\\.\\d'/,relevance:5}]},{className:\"string\",begin:/\\[\\d[^\\]\\n]{8,}\\]/,illegal:/\\n/,relevance:1},{className:\"string\",begin:/\\[/,end:/\\]/,illegal:/\\n/,relevance:0},{className:\"string\",begin:/\"Mozilla\\/\\d\\.\\d \\(/,end:/\"/,illegal:/\\n/,relevance:3},{className:\"string\",begin:/\"/,end:/\"/,illegal:/\\n/,relevance:0}]}}return Ot=n,Ot}var It,yi;function uc(){if(yi)return It;yi=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a=/[a-zA-Z_$][a-zA-Z0-9_$]*/,i=/([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/,o={className:\"rest_arg\",begin:/[.]{3}/,end:a,relevance:10};return{name:\"ActionScript\",aliases:[\"as\"],keywords:{keyword:\"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with\",literal:\"true false null undefined\"},contains:[n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,n.C_NUMBER_MODE,{className:\"class\",beginKeywords:\"package\",end:/\\{/,contains:[n.TITLE_MODE]},{className:\"class\",beginKeywords:\"class interface\",end:/\\{/,excludeEnd:!0,contains:[{beginKeywords:\"extends implements\"},n.TITLE_MODE]},{className:\"meta\",beginKeywords:\"import include\",end:/;/,keywords:{\"meta-keyword\":\"import include\"}},{className:\"function\",beginKeywords:\"function\",end:/[{;]/,excludeEnd:!0,illegal:/\\S/,contains:[n.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,contains:[n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,o]},{begin:e(/:\\s*/,i)}]},n.METHOD_GUARD],illegal:/#/}}return It=r,It}var At,Di;function mc(){if(Di)return At;Di=1;function t(e){const r=\"\\\\d(_|\\\\d)*\",n=\"[eE][-+]?\"+r,a=r+\"(\\\\.\"+r+\")?(\"+n+\")?\",i=\"\\\\w+\",s=\"\\\\b(\"+(r+\"#\"+i+\"(\\\\.\"+i+\")?#(\"+n+\")?\")+\"|\"+a+\")\",l=\"[A-Za-z](_?[A-Za-z0-9.])*\",_=`[]\\\\{\\\\}%#'\"`,c=e.COMMENT(\"--\",\"$\"),E={begin:\"\\\\s+:\\\\s+\",end:\"\\\\s*(:=|;|\\\\)|=>|$)\",illegal:_,contains:[{beginKeywords:\"loop for declare others\",endsParent:!0},{className:\"keyword\",beginKeywords:\"not null constant access function procedure in out aliased exception\"},{className:\"type\",begin:l,endsParent:!0,relevance:0}]};return{name:\"Ada\",case_insensitive:!0,keywords:{keyword:\"abort else new return abs elsif not reverse abstract end accept entry select access exception of separate aliased exit or some all others subtype and for out synchronized array function overriding at tagged generic package task begin goto pragma terminate body private then if procedure type case in protected constant interface is raise use declare range delay limited record when delta loop rem while digits renames with do mod requeue xor\",literal:\"True False\"},contains:[c,{className:\"string\",begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{className:\"string\",begin:/'.'/},{className:\"number\",begin:s,relevance:0},{className:\"symbol\",begin:\"'\"+l},{className:\"title\",begin:\"(\\\\bwith\\\\s+)?(\\\\bprivate\\\\s+)?\\\\bpackage\\\\s+(\\\\bbody\\\\s+)?\",end:\"(is|$)\",keywords:\"package body\",excludeBegin:!0,excludeEnd:!0,illegal:_},{begin:\"(\\\\b(with|overriding)\\\\s+)?\\\\b(function|procedure)\\\\s+\",end:\"(\\\\bis|\\\\bwith|\\\\brenames|\\\\)\\\\s*;)\",keywords:\"overriding function procedure with is renames return\",returnBegin:!0,contains:[c,{className:\"title\",begin:\"(\\\\bwith\\\\s+)?\\\\b(function|procedure)\\\\s+\",end:\"(\\\\(|\\\\s+|$)\",excludeBegin:!0,excludeEnd:!0,illegal:_},E,{className:\"type\",begin:\"\\\\breturn\\\\s+\",end:\"(\\\\s+|;|$)\",keywords:\"return\",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:_}]},{className:\"type\",begin:\"\\\\b(sub)?type\\\\s+\",end:\"\\\\s+\",keywords:\"type\",excludeBegin:!0,illegal:_},E]}}return At=t,At}var vt,hi;function Ec(){if(hi)return vt;hi=1;function t(e){var r={className:\"built_in\",begin:\"\\\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)\"},n={className:\"symbol\",begin:\"[a-zA-Z0-9_]+@\"},a={className:\"keyword\",begin:\"<\",end:\">\",contains:[r,n]};return r.contains=[a],n.contains=[a],{name:\"AngelScript\",aliases:[\"asc\"],keywords:\"for in|0 break continue while do|0 return if else case switch namespace is cast or and xor not get|0 in inout|10 out override set|0 private public const default|0 final shared external mixin|10 enum typedef funcdef this super import from interface abstract|0 try catch protected explicit property\",illegal:\"(^using\\\\s+[A-Za-z0-9_\\\\.]+;$|\\\\bfunction\\\\s*[^\\\\(])\",contains:[{className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},{className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE],relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",begin:\"^\\\\s*\\\\[\",end:\"\\\\]\"},{beginKeywords:\"interface namespace\",end:/\\{/,illegal:\"[;.\\\\-]\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\"}]},{beginKeywords:\"class\",end:/\\{/,illegal:\"[;.\\\\-]\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\",contains:[{begin:\"[:,]\\\\s*\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\"}]}]}]},r,n,{className:\"literal\",begin:\"\\\\b(null|true|false)\"},{className:\"number\",relevance:0,begin:\"(-?)(\\\\b0[xXbBoOdD][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?f?|\\\\.\\\\d+f?)([eE][-+]?\\\\d+f?)?)\"}]}}return vt=t,vt}var yt,Mi;function pc(){if(Mi)return yt;Mi=1;function t(e){const r={className:\"number\",begin:/[$%]\\d+/},n={className:\"number\",begin:/\\d+/},a={className:\"number\",begin:/\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?/},i={className:\"number\",begin:/:\\d{1,5}/};return{name:\"Apache config\",aliases:[\"apacheconf\"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:\"section\",begin:/<\\/?/,end:/>/,contains:[a,i,e.inherit(e.QUOTE_STRING_MODE,{relevance:0})]},{className:\"attribute\",begin:/\\w+/,relevance:0,keywords:{nomarkup:\"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername\"},starts:{end:/$/,relevance:0,keywords:{literal:\"on off all deny allow\"},contains:[{className:\"meta\",begin:/\\s\\[/,end:/\\]$/},{className:\"variable\",begin:/[\\$%]\\{/,end:/\\}/,contains:[\"self\",r]},a,n,e.QUOTE_STRING_MODE]}}],illegal:/\\S/}}return yt=t,yt}var Dt,Li;function gc(){if(Li)return Dt;Li=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i=a.inherit(a.QUOTE_STRING_MODE,{illegal:null}),o={className:\"params\",begin:/\\(/,end:/\\)/,contains:[\"self\",a.C_NUMBER_MODE,i]},s=a.COMMENT(/--/,/$/),l=a.COMMENT(/\\(\\*/,/\\*\\)/,{contains:[\"self\",s]}),_=[s,l,a.HASH_COMMENT_MODE],c=[/apart from/,/aside from/,/instead of/,/out of/,/greater than/,/isn't|(doesn't|does not) (equal|come before|come after|contain)/,/(greater|less) than( or equal)?/,/(starts?|ends|begins?) with/,/contained by/,/comes (before|after)/,/a (ref|reference)/,/POSIX (file|path)/,/(date|time) string/,/quoted form/],E=[/clipboard info/,/the clipboard/,/info for/,/list (disks|folder)/,/mount volume/,/path to/,/(close|open for) access/,/(get|set) eof/,/current date/,/do shell script/,/get volume settings/,/random number/,/set volume/,/system attribute/,/system info/,/time to GMT/,/(load|run|store) script/,/scripting components/,/ASCII (character|number)/,/localized string/,/choose (application|color|file|file name|folder|from list|remote application|URL)/,/display (alert|dialog)/];return{name:\"AppleScript\",aliases:[\"osascript\"],keywords:{keyword:\"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without\",literal:\"AppleScript false linefeed return pi quote result space tab true\",built_in:\"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year\"},contains:[i,a.C_NUMBER_MODE,{className:\"built_in\",begin:e(/\\b/,r(...E),/\\b/)},{className:\"built_in\",begin:/^\\s*return\\b/},{className:\"literal\",begin:/\\b(text item delimiters|current application|missing value)\\b/},{className:\"keyword\",begin:e(/\\b/,r(...c),/\\b/)},{beginKeywords:\"on\",illegal:/[${=;\\n]/,contains:[a.UNDERSCORE_TITLE_MODE,o]},..._],illegal:/\\/\\/|->|=>|\\[\\[/}}return Dt=n,Dt}var ht,xi;function Sc(){if(xi)return ht;xi=1;function t(e){const r=\"[A-Za-z_][0-9A-Za-z_]*\",n={keyword:\"if for while var new function do return void else break\",literal:\"BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined\",built_in:\"Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance Weekday When Within Year \"},a={className:\"symbol\",begin:\"\\\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+\"},i={className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)\"},{begin:\"\\\\b(0[oO][0-7]+)\"},{begin:e.C_NUMBER_RE}],relevance:0},o={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:n,contains:[]},s={className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE,o]};o.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,s,i,e.REGEXP_MODE];const l=o.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{name:\"ArcGIS Arcade\",keywords:n,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,s,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,i,{begin:/[{,]\\s*/,relevance:0,contains:[{begin:r+\"\\\\s*:\",returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:r,relevance:0}]}]},{begin:\"(\"+e.RE_STARTERS_RE+\"|\\\\b(return)\\\\b)\\\\s*\",keywords:\"return\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:\"function\",begin:\"(\\\\(.*?\\\\)|\"+r+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:r},{begin:/\\(\\s*\\)/},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:n,contains:l}]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/\\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:r}),{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:l}],illegal:/\\[|%/},{begin:/\\$[(.]/}],illegal:/#(?!!)/}}return ht=t,ht}var Mt,Pi;function Tc(){if(Pi)return Mt;Pi=1;function t(o){return o?typeof o==\"string\"?o:o.source:null}function e(o){return n(\"(?=\",o,\")\")}function r(o){return n(\"(\",o,\")?\")}function n(...o){return o.map(l=>t(l)).join(\"\")}function a(o){const s=o.COMMENT(\"//\",\"$\",{contains:[{begin:/\\\\\\n/}]}),l=\"decltype\\\\(auto\\\\)\",_=\"[a-zA-Z_]\\\\w*::\",E=\"(\"+l+\"|\"+r(_)+\"[a-zA-Z_]\\\\w*\"+r(\"<[^<>]+>\")+\")\",d={className:\"keyword\",begin:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},p={className:\"string\",variants:[{begin:'(u8?|U|L)?\"',end:'\"',illegal:\"\\\\n\",contains:[o.BACKSLASH_ESCAPE]},{begin:\"(u8?|U|L)?'(\"+\"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)\"+\"|.)\",end:\"'\",illegal:\".\"},o.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\(/,end:/\\)([^()\\\\ ]{0,16})\"/})]},T={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},g={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},o.inherit(p,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/},s,o.C_BLOCK_COMMENT_MODE]},S={className:\"title\",begin:r(_)+o.IDENT_RE,relevance:0},b=r(_)+o.IDENT_RE+\"\\\\s*\\\\(\",C={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_t short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"_Bool _Complex _Imaginary\",_relevance_hints:[\"asin\",\"atan2\",\"atan\",\"calloc\",\"ceil\",\"cosh\",\"cos\",\"exit\",\"exp\",\"fabs\",\"floor\",\"fmod\",\"fprintf\",\"fputs\",\"free\",\"frexp\",\"auto_ptr\",\"deque\",\"list\",\"queue\",\"stack\",\"vector\",\"map\",\"set\",\"pair\",\"bitset\",\"multiset\",\"multimap\",\"unordered_set\",\"fscanf\",\"future\",\"isalnum\",\"isalpha\",\"iscntrl\",\"isdigit\",\"isgraph\",\"islower\",\"isprint\",\"ispunct\",\"isspace\",\"isupper\",\"isxdigit\",\"tolower\",\"toupper\",\"labs\",\"ldexp\",\"log10\",\"log\",\"malloc\",\"realloc\",\"memchr\",\"memcmp\",\"memcpy\",\"memset\",\"modf\",\"pow\",\"printf\",\"putchar\",\"puts\",\"scanf\",\"sinh\",\"sin\",\"snprintf\",\"sprintf\",\"sqrt\",\"sscanf\",\"strcat\",\"strchr\",\"strcmp\",\"strcpy\",\"strcspn\",\"strlen\",\"strncat\",\"strncmp\",\"strncpy\",\"strpbrk\",\"strrchr\",\"strspn\",\"strstr\",\"tanh\",\"tan\",\"unordered_map\",\"unordered_multiset\",\"unordered_multimap\",\"priority_queue\",\"make_pair\",\"array\",\"shared_ptr\",\"abort\",\"terminate\",\"abs\",\"acos\",\"vfprintf\",\"vprintf\",\"vsprintf\",\"endl\",\"initializer_list\",\"unique_ptr\",\"complex\",\"imaginary\",\"std\",\"string\",\"wstring\",\"cin\",\"cout\",\"cerr\",\"clog\",\"stdin\",\"stdout\",\"stderr\",\"stringstream\",\"istringstream\",\"ostringstream\"],literal:\"true false nullptr NULL\"},y={className:\"function.dispatch\",relevance:0,keywords:C,begin:n(/\\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!while)/,o.IDENT_RE,e(/\\s*\\(/))},A=[y,g,d,s,o.C_BLOCK_COMMENT_MODE,T,p],O={variants:[{begin:/=/,end:/;/},{begin:/\\(/,end:/\\)/},{beginKeywords:\"new throw return else\",end:/;/}],keywords:C,contains:A.concat([{begin:/\\(/,end:/\\)/,keywords:C,contains:A.concat([\"self\"]),relevance:0}]),relevance:0},P={className:\"function\",begin:\"(\"+E+\"[\\\\*&\\\\s]+)+\"+b,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:C,illegal:/[^\\w\\s\\*&:<>.]/,contains:[{begin:l,keywords:C,relevance:0},{begin:b,returnBegin:!0,contains:[S],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[p,T]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:C,relevance:0,contains:[s,o.C_BLOCK_COMMENT_MODE,p,T,d,{begin:/\\(/,end:/\\)/,keywords:C,relevance:0,contains:[\"self\",s,o.C_BLOCK_COMMENT_MODE,p,T,d]}]},d,s,o.C_BLOCK_COMMENT_MODE,g]};return{name:\"C++\",aliases:[\"cc\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],keywords:C,illegal:\"\",keywords:C,contains:[\"self\",d]},{begin:o.IDENT_RE+\"::\",keywords:C},{className:\"class\",beginKeywords:\"enum class struct union\",end:/[{;:<>=]/,contains:[{beginKeywords:\"final class struct\"},o.TITLE_MODE]}]),exports:{preprocessor:g,strings:p,keywords:C}}}function i(o){const s={keyword:\"boolean byte word String\",built_in:\"KeyboardController MouseController SoftwareSerial EthernetServer EthernetClient LiquidCrystal RobotControl GSMVoiceCall EthernetUDP EsploraTFT HttpClient RobotMotor WiFiClient GSMScanner FileSystem Scheduler GSMServer YunClient YunServer IPAddress GSMClient GSMModem Keyboard Ethernet Console GSMBand Esplora Stepper Process WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage Client Server GSMPIN FileIO Bridge Serial EEPROM Stream Mouse Audio Servo File Task GPRS WiFi Wire TFT GSM SPI SD \",_:\"setup loop runShellCommandAsynchronously analogWriteResolution retrieveCallingNumber printFirmwareVersion analogReadResolution sendDigitalPortPair noListenOnLocalhost readJoystickButton setFirmwareVersion readJoystickSwitch scrollDisplayRight getVoiceCallStatus scrollDisplayLeft writeMicroseconds delayMicroseconds beginTransmission getSignalStrength runAsynchronously getAsynchronously listenOnLocalhost getCurrentCarrier readAccelerometer messageAvailable sendDigitalPorts lineFollowConfig countryNameWrite runShellCommand readStringUntil rewindDirectory readTemperature setClockDivider readLightSensor endTransmission analogReference detachInterrupt countryNameRead attachInterrupt encryptionType readBytesUntil robotNameWrite readMicrophone robotNameRead cityNameWrite userNameWrite readJoystickY readJoystickX mouseReleased openNextFile scanNetworks noInterrupts digitalWrite beginSpeaker mousePressed isActionDone mouseDragged displayLogos noAutoscroll addParameter remoteNumber getModifiers keyboardRead userNameRead waitContinue processInput parseCommand printVersion readNetworks writeMessage blinkVersion cityNameRead readMessage setDataMode parsePacket isListening setBitOrder beginPacket isDirectory motorsWrite drawCompass digitalRead clearScreen serialEvent rightToLeft setTextSize leftToRight requestFrom keyReleased compassRead analogWrite interrupts WiFiServer disconnect playMelody parseFloat autoscroll getPINUsed setPINUsed setTimeout sendAnalog readSlider analogRead beginWrite createChar motorsStop keyPressed tempoWrite readButton subnetMask debugPrint macAddress writeGreen randomSeed attachGPRS readString sendString remotePort releaseAll mouseMoved background getXChange getYChange answerCall getResult voiceCall endPacket constrain getSocket writeJSON getButton available connected findUntil readBytes exitValue readGreen writeBlue startLoop IPAddress isPressed sendSysex pauseMode gatewayIP setCursor getOemKey tuneWrite noDisplay loadImage switchPIN onRequest onReceive changePIN playFile noBuffer parseInt overflow checkPIN knobRead beginTFT bitClear updateIR bitWrite position writeRGB highByte writeRed setSpeed readBlue noStroke remoteIP transfer shutdown hangCall beginSMS endWrite attached maintain noCursor checkReg checkPUK shiftOut isValid shiftIn pulseIn connect println localIP pinMode getIMEI display noBlink process getBand running beginSD drawBMP lowByte setBand release bitRead prepare pointTo readRed setMode noFill remove listen stroke detach attach noTone exists buffer height bitSet circle config cursor random IRread setDNS endSMS getKey micros millis begin print write ready flush width isPIN blink clear press mkdir rmdir close point yield image BSSID click delay read text move peek beep rect line open seek fill size turn stop home find step tone sqrt RSSI SSID end bit tan cos sin pow map abs max min get run put\",literal:\"DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL DEFAULT OUTPUT INPUT HIGH LOW\"},l=a(o),_=l.keywords;return _.keyword+=\" \"+s.keyword,_.literal+=\" \"+s.literal,_.built_in+=\" \"+s.built_in,_._+=\" \"+s._,l.name=\"Arduino\",l.aliases=[\"ino\"],l.supersetOf=\"cpp\",l}return Mt=i,Mt}var Lt,wi;function bc(){if(wi)return Lt;wi=1;function t(e){const r={variants:[e.COMMENT(\"^[ \\\\t]*(?=#)\",\"$\",{relevance:0,excludeBegin:!0}),e.COMMENT(\"[;@]\",\"$\",{relevance:0}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:\"ARM Assembly\",case_insensitive:!0,aliases:[\"arm\"],keywords:{$pattern:\"\\\\.?\"+e.IDENT_RE,meta:\".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND \",built_in:\"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @\"},contains:[{className:\"keyword\",begin:\"\\\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\\\s)\"},r,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",relevance:0},{className:\"title\",begin:\"\\\\|\",end:\"\\\\|\",illegal:\"\\\\n\",relevance:0},{className:\"number\",variants:[{begin:\"[#$=]?0x[0-9a-f]+\"},{begin:\"[#$=]?0b[01]+\"},{begin:\"[#$=]\\\\d+\"},{begin:\"\\\\b\\\\d+\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^[ \\\\t]*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:\"},{begin:\"^[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+\"},{begin:\"[=#]\\\\w+\"}],relevance:0}]}}return Lt=t,Lt}var xt,ki;function Rc(){if(ki)return xt;ki=1;function t(o){return o?typeof o==\"string\"?o:o.source:null}function e(o){return n(\"(?=\",o,\")\")}function r(o){return n(\"(\",o,\")?\")}function n(...o){return o.map(l=>t(l)).join(\"\")}function a(...o){return\"(\"+o.map(l=>t(l)).join(\"|\")+\")\"}function i(o){const s=n(/[A-Z_]/,r(/[A-Z0-9_.-]*:/),/[A-Z0-9_.-]*/),l=/[A-Za-z0-9._:-]+/,_={className:\"symbol\",begin:/&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/},c={begin:/\\s/,contains:[{className:\"meta-keyword\",begin:/#?[a-z_][a-z1-9_-]+/,illegal:/\\n/}]},E=o.inherit(c,{begin:/\\(/,end:/\\)/}),d=o.inherit(o.APOS_STRING_MODE,{className:\"meta-string\"}),u=o.inherit(o.QUOTE_STRING_MODE,{className:\"meta-string\"}),p={endsWithParent:!0,illegal:/`]+/}]}]}]};return{name:\"HTML, XML\",aliases:[\"html\",\"xhtml\",\"rss\",\"atom\",\"xjb\",\"xsd\",\"xsl\",\"plist\",\"wsf\",\"svg\"],case_insensitive:!0,contains:[{className:\"meta\",begin://,relevance:10,contains:[c,u,d,E,{begin:/\\[/,end:/\\]/,contains:[{className:\"meta\",begin://,contains:[c,E,u,d]}]}]},o.COMMENT(//,{relevance:10}),{begin://,relevance:10},_,{className:\"meta\",begin:/<\\?xml/,end:/\\?>/,relevance:10},{className:\"tag\",begin:/)/,end:/>/,keywords:{name:\"style\"},contains:[p],starts:{end:/<\\/style>/,returnEnd:!0,subLanguage:[\"css\",\"xml\"]}},{className:\"tag\",begin:/)/,end:/>/,keywords:{name:\"script\"},contains:[p],starts:{end:/<\\/script>/,returnEnd:!0,subLanguage:[\"javascript\",\"handlebars\",\"xml\"]}},{className:\"tag\",begin:/<>|<\\/>/},{className:\"tag\",begin:n(//,/>/,/\\s/)))),end:/\\/?>/,contains:[{className:\"name\",begin:s,relevance:0,starts:p}]},{className:\"tag\",begin:n(/<\\//,e(n(s,/>/))),contains:[{className:\"name\",begin:s,relevance:0},{begin:/>/,relevance:0,endsParent:!0}]}]}}return xt=i,xt}var Pt,Ui;function fc(){if(Ui)return Pt;Ui=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={begin:\"^'{3,}[ \\\\t]*$\",relevance:10},i=[{begin:/\\\\[*_`]/},{begin:/\\\\\\\\\\*{2}[^\\n]*?\\*{2}/},{begin:/\\\\\\\\_{2}[^\\n]*_{2}/},{begin:/\\\\\\\\`{2}[^\\n]*`{2}/},{begin:/[:;}][*_`](?![*_`])/}],o=[{className:\"strong\",begin:/\\*{2}([^\\n]+?)\\*{2}/},{className:\"strong\",begin:e(/\\*\\*/,/((\\*(?!\\*)|\\\\[^\\n]|[^*\\n\\\\])+\\n)+/,/(\\*(?!\\*)|\\\\[^\\n]|[^*\\n\\\\])*/,/\\*\\*/),relevance:0},{className:\"strong\",begin:/\\B\\*(\\S|\\S[^\\n]*?\\S)\\*(?!\\w)/},{className:\"strong\",begin:/\\*[^\\s]([^\\n]+\\n)+([^\\n]+)\\*/}],s=[{className:\"emphasis\",begin:/_{2}([^\\n]+?)_{2}/},{className:\"emphasis\",begin:e(/__/,/((_(?!_)|\\\\[^\\n]|[^_\\n\\\\])+\\n)+/,/(_(?!_)|\\\\[^\\n]|[^_\\n\\\\])*/,/__/),relevance:0},{className:\"emphasis\",begin:/\\b_(\\S|\\S[^\\n]*?\\S)_(?!\\w)/},{className:\"emphasis\",begin:/_[^\\s]([^\\n]+\\n)+([^\\n]+)_/},{className:\"emphasis\",begin:\"\\\\B'(?!['\\\\s])\",end:\"(\\\\n{2}|')\",contains:[{begin:\"\\\\\\\\'\\\\w\",relevance:0}],relevance:0}],l={className:\"symbol\",begin:\"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\\\s+\",relevance:10},_={className:\"bullet\",begin:\"^(\\\\*+|-+|\\\\.+|[^\\\\n]+?::)\\\\s+\"};return{name:\"AsciiDoc\",aliases:[\"adoc\"],contains:[n.COMMENT(\"^/{4,}\\\\n\",\"\\\\n/{4,}$\",{relevance:10}),n.COMMENT(\"^//\",\"$\",{relevance:0}),{className:\"title\",begin:\"^\\\\.\\\\w.*$\"},{begin:\"^[=\\\\*]{4,}\\\\n\",end:\"\\\\n^[=\\\\*]{4,}$\",relevance:10},{className:\"section\",relevance:10,variants:[{begin:\"^(={1,6})[ \t].+?([ \t]\\\\1)?$\"},{begin:\"^[^\\\\[\\\\]\\\\n]+?\\\\n[=\\\\-~\\\\^\\\\+]{2,}$\"}]},{className:\"meta\",begin:\"^:.+?:\",end:\"\\\\s\",excludeEnd:!0,relevance:10},{className:\"meta\",begin:\"^\\\\[.+?\\\\]$\",relevance:0},{className:\"quote\",begin:\"^_{4,}\\\\n\",end:\"\\\\n_{4,}$\",relevance:10},{className:\"code\",begin:\"^[\\\\-\\\\.]{4,}\\\\n\",end:\"\\\\n[\\\\-\\\\.]{4,}$\",relevance:10},{begin:\"^\\\\+{4,}\\\\n\",end:\"\\\\n\\\\+{4,}$\",contains:[{begin:\"<\",end:\">\",subLanguage:\"xml\",relevance:0}],relevance:10},_,l,...i,...o,...s,{className:\"string\",variants:[{begin:\"``.+?''\"},{begin:\"`.+?'\"}]},{className:\"code\",begin:/`{2}/,end:/(\\n{2}|`{2})/},{className:\"code\",begin:\"(`.+?`|\\\\+.+?\\\\+)\",relevance:0},{className:\"code\",begin:\"^[ \\\\t]\",end:\"$\",relevance:0},a,{begin:\"(link:)?(http|https|ftp|file|irc|image:?):\\\\S+?\\\\[[^[]*?\\\\]\",returnBegin:!0,contains:[{begin:\"(link|image:?):\",relevance:0},{className:\"link\",begin:\"\\\\w\",end:\"[^\\\\[]+\",relevance:0},{className:\"string\",begin:\"\\\\[\",end:\"\\\\]\",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}return Pt=r,Pt}var wt,Fi;function Nc(){if(Fi)return wt;Fi=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a=\"false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance\",i=\"get set args call\";return{name:\"AspectJ\",keywords:a,illegal:/<\\/|#/,contains:[n.COMMENT(/\\/\\*\\*/,/\\*\\//,{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:/@[A-Za-z]+/}]}),n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,{className:\"class\",beginKeywords:\"aspect\",end:/[{;=]/,excludeEnd:!0,illegal:/[:;\"\\[\\]]/,contains:[{beginKeywords:\"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton\"},n.UNDERSCORE_TITLE_MODE,{begin:/\\([^\\)]*/,end:/[)]+/,keywords:a+\" \"+i,excludeEnd:!1}]},{className:\"class\",beginKeywords:\"class interface\",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:\"class interface\",illegal:/[:\"\\[\\]]/,contains:[{beginKeywords:\"extends implements\"},n.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"pointcut after before around throwing returning\",end:/[)]/,excludeEnd:!1,illegal:/[\"\\[\\]]/,contains:[{begin:e(n.UNDERSCORE_IDENT_RE,/\\s*\\(/),returnBegin:!0,contains:[n.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:a,illegal:/[\"\\[\\]]/,contains:[{begin:e(n.UNDERSCORE_IDENT_RE,/\\s*\\(/),keywords:a+\" \"+i,relevance:0},n.QUOTE_STRING_MODE]},{beginKeywords:\"new throw\",relevance:0},{className:\"function\",begin:/\\w+ +\\w+(\\.\\w+)?\\s*\\([^\\)]*\\)\\s*((throws)[\\w\\s,]+)?[\\{;]/,returnBegin:!0,end:/[{;=]/,keywords:a,excludeEnd:!0,contains:[{begin:e(n.UNDERSCORE_IDENT_RE,/\\s*\\(/),returnBegin:!0,relevance:0,contains:[n.UNDERSCORE_TITLE_MODE]},{className:\"params\",begin:/\\(/,end:/\\)/,relevance:0,keywords:a,contains:[n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,n.C_NUMBER_MODE,n.C_BLOCK_COMMENT_MODE]},n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE]},n.C_NUMBER_MODE,{className:\"meta\",begin:/@[A-Za-z]+/}]}}return wt=r,wt}var kt,Bi;function Cc(){if(Bi)return kt;Bi=1;function t(e){const r={begin:\"`[\\\\s\\\\S]\"};return{name:\"AutoHotkey\",case_insensitive:!0,aliases:[\"ahk\"],keywords:{keyword:\"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group\",literal:\"true false NOT AND OR\",built_in:\"ComSpec Clipboard ClipboardAll ErrorLevel\"},contains:[r,e.inherit(e.QUOTE_STRING_MODE,{contains:[r]}),e.COMMENT(\";\",\"$\",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:\"number\",begin:e.NUMBER_RE,relevance:0},{className:\"variable\",begin:\"%[a-zA-Z0-9#_$@]+%\"},{className:\"built_in\",begin:\"^\\\\s*\\\\w+\\\\s*(,|%)\"},{className:\"title\",variants:[{begin:'^[^\\\\n\";]+::(?!=)'},{begin:'^[^\\\\n\";]+:(?!=)',relevance:0}]},{className:\"meta\",begin:\"^\\\\s*#\\\\w+\",end:\"$\",relevance:0},{className:\"built_in\",begin:\"A_[a-zA-Z0-9]+\"},{begin:\",\\\\s*,\"}]}}return kt=t,kt}var Ut,Gi;function Oc(){if(Gi)return Ut;Gi=1;function t(e){const r=\"ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With\",n=[\"EndRegion\",\"forcedef\",\"forceref\",\"ignorefunc\",\"include\",\"include-once\",\"NoTrayIcon\",\"OnAutoItStartRegister\",\"pragma\",\"Region\",\"RequireAdmin\",\"Tidy_Off\",\"Tidy_On\",\"Tidy_Parameters\"],a=\"True False And Null Not Or Default\",i=\"Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive\",o={variants:[e.COMMENT(\";\",\"$\",{relevance:0}),e.COMMENT(\"#cs\",\"#ce\"),e.COMMENT(\"#comments-start\",\"#comments-end\")]},s={begin:\"\\\\$[A-z0-9_]+\"},l={className:\"string\",variants:[{begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},_={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},c={className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":n},contains:[{begin:/\\\\\\n/,relevance:0},{beginKeywords:\"include\",keywords:{\"meta-keyword\":\"include\"},end:\"$\",contains:[l,{className:\"meta-string\",variants:[{begin:\"<\",end:\">\"},{begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},l,o]},E={className:\"symbol\",begin:\"@[A-z0-9_]+\"},d={className:\"function\",beginKeywords:\"Func\",end:\"$\",illegal:\"\\\\$|\\\\[|%\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[s,l,_]}]};return{name:\"AutoIt\",case_insensitive:!0,illegal:/\\/\\*/,keywords:{keyword:r,built_in:i,literal:a},contains:[o,s,l,_,c,E,d]}}return Ut=t,Ut}var Ft,Yi;function Ic(){if(Yi)return Ft;Yi=1;function t(e){return{name:\"AVR Assembly\",case_insensitive:!0,keywords:{$pattern:\"\\\\.?\"+e.IDENT_RE,keyword:\"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr\",built_in:\"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf\",meta:\".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set\"},contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(\";\",\"$\",{relevance:0}),e.C_NUMBER_MODE,e.BINARY_NUMBER_MODE,{className:\"number\",begin:\"\\\\b(\\\\$[a-zA-Z0-9]+|0o[0-7]+)\"},e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",illegal:\"[^\\\\\\\\][^']\"},{className:\"symbol\",begin:\"^[A-Za-z0-9_.$]+:\"},{className:\"meta\",begin:\"#\",end:\"$\"},{className:\"subst\",begin:\"@[0-9]+\"}]}}return Ft=t,Ft}var Bt,qi;function Ac(){if(qi)return Bt;qi=1;function t(e){const r={className:\"variable\",variants:[{begin:/\\$[\\w\\d#@][\\w\\d_]*/},{begin:/\\$\\{(.*?)\\}/}]},n=\"BEGIN END if else while do for in break continue delete next nextfile function func exit|10\",a={className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?\"\"\"/,end:/\"\"\"/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)\"/,end:/\"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)\"/,end:/\"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]};return{name:\"Awk\",keywords:{keyword:n},contains:[r,a,e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}}return Bt=t,Bt}var Gt,Hi;function vc(){if(Hi)return Gt;Hi=1;function t(e){return{name:\"X++\",aliases:[\"x++\"],keywords:{keyword:[\"abstract\",\"as\",\"asc\",\"avg\",\"break\",\"breakpoint\",\"by\",\"byref\",\"case\",\"catch\",\"changecompany\",\"class\",\"client\",\"client\",\"common\",\"const\",\"continue\",\"count\",\"crosscompany\",\"delegate\",\"delete_from\",\"desc\",\"display\",\"div\",\"do\",\"edit\",\"else\",\"eventhandler\",\"exists\",\"extends\",\"final\",\"finally\",\"firstfast\",\"firstonly\",\"firstonly1\",\"firstonly10\",\"firstonly100\",\"firstonly1000\",\"flush\",\"for\",\"forceliterals\",\"forcenestedloop\",\"forceplaceholders\",\"forceselectorder\",\"forupdate\",\"from\",\"generateonly\",\"group\",\"hint\",\"if\",\"implements\",\"in\",\"index\",\"insert_recordset\",\"interface\",\"internal\",\"is\",\"join\",\"like\",\"maxof\",\"minof\",\"mod\",\"namespace\",\"new\",\"next\",\"nofetch\",\"notexists\",\"optimisticlock\",\"order\",\"outer\",\"pessimisticlock\",\"print\",\"private\",\"protected\",\"public\",\"readonly\",\"repeatableread\",\"retry\",\"return\",\"reverse\",\"select\",\"server\",\"setting\",\"static\",\"sum\",\"super\",\"switch\",\"this\",\"throw\",\"try\",\"ttsabort\",\"ttsbegin\",\"ttscommit\",\"unchecked\",\"update_recordset\",\"using\",\"validtimestate\",\"void\",\"where\",\"while\"],built_in:[\"anytype\",\"boolean\",\"byte\",\"char\",\"container\",\"date\",\"double\",\"enum\",\"guid\",\"int\",\"int64\",\"long\",\"real\",\"short\",\"str\",\"utcdatetime\",\"var\"],literal:[\"default\",\"false\",\"null\",\"true\"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\"},{className:\"class\",beginKeywords:\"class interface\",end:/\\{/,excludeEnd:!0,illegal:\":\",contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]}]}}return Gt=t,Gt}var Yt,Vi;function yc(){if(Vi)return Yt;Vi=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={},i={begin:/\\$\\{/,end:/\\}/,contains:[\"self\",{begin:/:-/,contains:[a]}]};Object.assign(a,{className:\"variable\",variants:[{begin:e(/\\$[\\w\\d#@][\\w\\d_]*/,\"(?![\\\\w\\\\d])(?![$])\")},i]});const o={className:\"subst\",begin:/\\$\\(/,end:/\\)/,contains:[n.BACKSLASH_ESCAPE]},s={begin:/<<-?\\s*(?=\\w+)/,starts:{contains:[n.END_SAME_AS_BEGIN({begin:/(\\w+)/,end:/(\\w+)/,className:\"string\"})]}},l={className:\"string\",begin:/\"/,end:/\"/,contains:[n.BACKSLASH_ESCAPE,a,o]};o.contains.push(l);const _={className:\"\",begin:/\\\\\"/},c={className:\"string\",begin:/'/,end:/'/},E={begin:/\\$\\(\\(/,end:/\\)\\)/,contains:[{begin:/\\d+#[0-9a-f]+/,className:\"number\"},n.NUMBER_MODE,a]},d=[\"fish\",\"bash\",\"zsh\",\"sh\",\"csh\",\"ksh\",\"tcsh\",\"dash\",\"scsh\"],u=n.SHEBANG({binary:`(${d.join(\"|\")})`,relevance:10}),p={className:\"function\",begin:/\\w[\\w\\d_]*\\s*\\(\\s*\\)\\s*\\{/,returnBegin:!0,contains:[n.inherit(n.TITLE_MODE,{begin:/\\w[\\w\\d_]*/})],relevance:0};return{name:\"Bash\",aliases:[\"sh\",\"zsh\"],keywords:{$pattern:/\\b[a-z._-]+\\b/,keyword:\"if then else elif fi for while in do done case esac function\",literal:\"true false\",built_in:\"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp\"},contains:[u,n.SHEBANG(),p,E,n.HASH_COMMENT_MODE,s,l,_,c,a]}}return Yt=r,Yt}var qt,Wi;function Dc(){if(Wi)return qt;Wi=1;function t(e){return{name:\"BASIC\",case_insensitive:!0,illegal:\"^.\",keywords:{$pattern:\"[a-zA-Z][a-zA-Z0-9_$%!#]*\",keyword:\"ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE WEND WIDTH WINDOW WRITE XOR\"},contains:[e.QUOTE_STRING_MODE,e.COMMENT(\"REM\",\"$\",{relevance:10}),e.COMMENT(\"'\",\"$\",{relevance:0}),{className:\"symbol\",begin:\"^[0-9]+ \",relevance:10},{className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?([edED]\\\\d+)?[#!]?\",relevance:0},{className:\"number\",begin:\"(&[hH][0-9a-fA-F]{1,4})\"},{className:\"number\",begin:\"(&[oO][0-7]{1,6})\"}]}}return qt=t,qt}var Ht,zi;function hc(){if(zi)return Ht;zi=1;function t(e){return{name:\"Backus–Naur Form\",contains:[{className:\"attribute\",begin://},{begin:/::=/,end:/$/,contains:[{begin://},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}]}}return Ht=t,Ht}var Vt,Ki;function Mc(){if(Ki)return Vt;Ki=1;function t(e){const r={className:\"literal\",begin:/[+-]/,relevance:0};return{name:\"Brainfuck\",aliases:[\"bf\"],contains:[e.COMMENT(`[^\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\n]`,`[\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\n]`,{returnEnd:!0,relevance:0}),{className:\"title\",begin:\"[\\\\[\\\\]]\",relevance:0},{className:\"string\",begin:\"[\\\\.,]\",relevance:0},{begin:/(?:\\+\\+|--)/,contains:[r]},r]}}return Vt=t,Vt}var Wt,$i;function Lc(){if($i)return Wt;$i=1;function t(o){return o?typeof o==\"string\"?o:o.source:null}function e(o){return n(\"(?=\",o,\")\")}function r(o){return n(\"(\",o,\")?\")}function n(...o){return o.map(l=>t(l)).join(\"\")}function a(o){const s=o.COMMENT(\"//\",\"$\",{contains:[{begin:/\\\\\\n/}]}),l=\"decltype\\\\(auto\\\\)\",_=\"[a-zA-Z_]\\\\w*::\",E=\"(\"+l+\"|\"+r(_)+\"[a-zA-Z_]\\\\w*\"+r(\"<[^<>]+>\")+\")\",d={className:\"keyword\",begin:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},p={className:\"string\",variants:[{begin:'(u8?|U|L)?\"',end:'\"',illegal:\"\\\\n\",contains:[o.BACKSLASH_ESCAPE]},{begin:\"(u8?|U|L)?'(\"+\"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)\"+\"|.)\",end:\"'\",illegal:\".\"},o.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\(/,end:/\\)([^()\\\\ ]{0,16})\"/})]},T={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},g={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},o.inherit(p,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/},s,o.C_BLOCK_COMMENT_MODE]},S={className:\"title\",begin:r(_)+o.IDENT_RE,relevance:0},b=r(_)+o.IDENT_RE+\"\\\\s*\\\\(\",C={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_t short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"_Bool _Complex _Imaginary\",_relevance_hints:[\"asin\",\"atan2\",\"atan\",\"calloc\",\"ceil\",\"cosh\",\"cos\",\"exit\",\"exp\",\"fabs\",\"floor\",\"fmod\",\"fprintf\",\"fputs\",\"free\",\"frexp\",\"auto_ptr\",\"deque\",\"list\",\"queue\",\"stack\",\"vector\",\"map\",\"set\",\"pair\",\"bitset\",\"multiset\",\"multimap\",\"unordered_set\",\"fscanf\",\"future\",\"isalnum\",\"isalpha\",\"iscntrl\",\"isdigit\",\"isgraph\",\"islower\",\"isprint\",\"ispunct\",\"isspace\",\"isupper\",\"isxdigit\",\"tolower\",\"toupper\",\"labs\",\"ldexp\",\"log10\",\"log\",\"malloc\",\"realloc\",\"memchr\",\"memcmp\",\"memcpy\",\"memset\",\"modf\",\"pow\",\"printf\",\"putchar\",\"puts\",\"scanf\",\"sinh\",\"sin\",\"snprintf\",\"sprintf\",\"sqrt\",\"sscanf\",\"strcat\",\"strchr\",\"strcmp\",\"strcpy\",\"strcspn\",\"strlen\",\"strncat\",\"strncmp\",\"strncpy\",\"strpbrk\",\"strrchr\",\"strspn\",\"strstr\",\"tanh\",\"tan\",\"unordered_map\",\"unordered_multiset\",\"unordered_multimap\",\"priority_queue\",\"make_pair\",\"array\",\"shared_ptr\",\"abort\",\"terminate\",\"abs\",\"acos\",\"vfprintf\",\"vprintf\",\"vsprintf\",\"endl\",\"initializer_list\",\"unique_ptr\",\"complex\",\"imaginary\",\"std\",\"string\",\"wstring\",\"cin\",\"cout\",\"cerr\",\"clog\",\"stdin\",\"stdout\",\"stderr\",\"stringstream\",\"istringstream\",\"ostringstream\"],literal:\"true false nullptr NULL\"},y={className:\"function.dispatch\",relevance:0,keywords:C,begin:n(/\\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!while)/,o.IDENT_RE,e(/\\s*\\(/))},A=[y,g,d,s,o.C_BLOCK_COMMENT_MODE,T,p],O={variants:[{begin:/=/,end:/;/},{begin:/\\(/,end:/\\)/},{beginKeywords:\"new throw return else\",end:/;/}],keywords:C,contains:A.concat([{begin:/\\(/,end:/\\)/,keywords:C,contains:A.concat([\"self\"]),relevance:0}]),relevance:0},P={className:\"function\",begin:\"(\"+E+\"[\\\\*&\\\\s]+)+\"+b,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:C,illegal:/[^\\w\\s\\*&:<>.]/,contains:[{begin:l,keywords:C,relevance:0},{begin:b,returnBegin:!0,contains:[S],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[p,T]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:C,relevance:0,contains:[s,o.C_BLOCK_COMMENT_MODE,p,T,d,{begin:/\\(/,end:/\\)/,keywords:C,relevance:0,contains:[\"self\",s,o.C_BLOCK_COMMENT_MODE,p,T,d]}]},d,s,o.C_BLOCK_COMMENT_MODE,g]};return{name:\"C++\",aliases:[\"cc\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],keywords:C,illegal:\"\",keywords:C,contains:[\"self\",d]},{begin:o.IDENT_RE+\"::\",keywords:C},{className:\"class\",beginKeywords:\"enum class struct union\",end:/[{;:<>=]/,contains:[{beginKeywords:\"final class struct\"},o.TITLE_MODE]}]),exports:{preprocessor:g,strings:p,keywords:C}}}function i(o){const s=a(o),l=[\"c\",\"h\"],_=[\"cc\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"];return s.disableAutodetect=!0,s.aliases=[],o.getLanguage(\"c\")||s.aliases.push(...l),o.getLanguage(\"cpp\")||s.aliases.push(..._),s}return Wt=i,Wt}var zt,Qi;function xc(){if(Qi)return zt;Qi=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(\",a,\")?\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const i=a.COMMENT(\"//\",\"$\",{contains:[{begin:/\\\\\\n/}]}),o=\"decltype\\\\(auto\\\\)\",s=\"[a-zA-Z_]\\\\w*::\",_=\"(\"+o+\"|\"+e(s)+\"[a-zA-Z_]\\\\w*\"+e(\"<[^<>]+>\")+\")\",c={className:\"keyword\",begin:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},d={className:\"string\",variants:[{begin:'(u8?|U|L)?\"',end:'\"',illegal:\"\\\\n\",contains:[a.BACKSLASH_ESCAPE]},{begin:\"(u8?|U|L)?'(\"+\"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)\"+\"|.)\",end:\"'\",illegal:\".\"},a.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\(/,end:/\\)([^()\\\\ ]{0,16})\"/})]},u={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},p={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},a.inherit(d,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/},i,a.C_BLOCK_COMMENT_MODE]},T={className:\"title\",begin:e(s)+a.IDENT_RE,relevance:0},g=e(s)+a.IDENT_RE+\"\\\\s*\\\\(\",S={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_t short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr _Bool complex _Complex imaginary _Imaginary\",literal:\"true false nullptr NULL\"},b=[p,c,i,a.C_BLOCK_COMMENT_MODE,u,d],f={variants:[{begin:/=/,end:/;/},{begin:/\\(/,end:/\\)/},{beginKeywords:\"new throw return else\",end:/;/}],keywords:S,contains:b.concat([{begin:/\\(/,end:/\\)/,keywords:S,contains:b.concat([\"self\"]),relevance:0}]),relevance:0},C={className:\"function\",begin:\"(\"+_+\"[\\\\*&\\\\s]+)+\"+g,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:S,illegal:/[^\\w\\s\\*&:<>.]/,contains:[{begin:o,keywords:S,relevance:0},{begin:g,returnBegin:!0,contains:[T],relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:S,relevance:0,contains:[i,a.C_BLOCK_COMMENT_MODE,d,u,c,{begin:/\\(/,end:/\\)/,keywords:S,relevance:0,contains:[\"self\",i,a.C_BLOCK_COMMENT_MODE,d,u,c]}]},c,i,a.C_BLOCK_COMMENT_MODE,p]};return{name:\"C\",aliases:[\"h\"],keywords:S,disableAutodetect:!0,illegal:\"\",keywords:S,contains:[\"self\",c]},{begin:a.IDENT_RE+\"::\",keywords:S},{className:\"class\",beginKeywords:\"enum class struct union\",end:/[{;:<>=]/,contains:[{beginKeywords:\"final class struct\"},a.TITLE_MODE]}]),exports:{preprocessor:p,strings:d,keywords:S}}}return zt=n,zt}var Kt,Xi;function Pc(){if(Xi)return Kt;Xi=1;function t(e){const r=\"div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to until while with var\",n=\"false true\",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\\{/,/\\}/,{relevance:0}),e.COMMENT(/\\(\\*/,/\\*\\)/,{relevance:10})],i={className:\"string\",begin:/'/,end:/'/,contains:[{begin:/''/}]},o={className:\"string\",begin:/(#\\d+)+/},s={className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(DT|D|T)\",relevance:0},l={className:\"string\",begin:'\"',end:'\"'},_={className:\"function\",beginKeywords:\"procedure\",end:/[:;]/,keywords:\"procedure|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:r,contains:[i,o]}].concat(a)},c={className:\"class\",begin:\"OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\\\d+) ([^\\\\r\\\\n]+)\",returnBegin:!0,contains:[e.TITLE_MODE,_]};return{name:\"C/AL\",case_insensitive:!0,keywords:{keyword:r,literal:n},illegal:/\\/\\*/,contains:[i,o,s,l,e.NUMBER_MODE,c,_]}}return Kt=t,Kt}var $t,Zi;function wc(){if(Zi)return $t;Zi=1;function t(e){return{name:\"Cap’n Proto\",aliases:[\"capnp\"],keywords:{keyword:\"struct enum interface union group import using const annotation extends in of on as with from fixed\",built_in:\"Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 Text Data AnyPointer AnyStruct Capability List\",literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.HASH_COMMENT_MODE,{className:\"meta\",begin:/@0x[\\w\\d]{16};/,illegal:/\\n/},{className:\"symbol\",begin:/@\\d+\\b/},{className:\"class\",beginKeywords:\"struct enum\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:\"class\",beginKeywords:\"interface\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]}]}}return $t=t,$t}var Qt,Ji;function kc(){if(Ji)return Qt;Ji=1;function t(e){const r=\"assembly module package import alias class interface object given value assign void function new of extends satisfies abstracts in out return break continue throw assert dynamic if else switch case for while try catch finally then let this outer super is exists nonempty\",n=\"shared abstract formal default actual variable late native deprecated final sealed annotation suppressWarnings small\",a=\"doc by license see throws tagged\",i={className:\"subst\",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:r,relevance:10},o=[{className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:10},{className:\"string\",begin:'\"',end:'\"',contains:[i]},{className:\"string\",begin:\"'\",end:\"'\"},{className:\"number\",begin:\"#[0-9a-fA-F_]+|\\\\$[01_]+|[0-9_]+(?:\\\\.[0-9_](?:[eE][+-]?\\\\d+)?)?[kMGTPmunpf]?\",relevance:0}];return i.contains=o,{name:\"Ceylon\",keywords:{keyword:r+\" \"+n,meta:a},illegal:\"\\\\$[^01]|#[^0-9a-fA-F]\",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[\"self\"]}),{className:\"meta\",begin:'@[a-z]\\\\w*(?::\"[^\"]*\")?'}].concat(o)}}return Qt=t,Qt}var Xt,ji;function Uc(){if(ji)return Xt;ji=1;function t(e){return{name:\"Clean\",aliases:[\"icl\",\"dcl\"],keywords:{keyword:\"if let in with where case of class instance otherwise implementation definition system module from import qualified as special code inline foreign export ccall stdcall generic derive infix infixl infixr\",built_in:\"Int Real Char Bool\",literal:\"True False\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:\"->|<-[|:]?|#!?|>>=|\\\\{\\\\||\\\\|\\\\}|:==|=:|<>\"}]}}return Xt=t,Xt}var Zt,eo;function Fc(){if(eo)return Zt;eo=1;function t(e){const r=\"a-zA-Z_\\\\-!.?+*=<>&#'\",n=\"[\"+r+\"][\"+r+\"0-9/;:]*\",a=\"def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord\",i={$pattern:n,\"builtin-name\":a+\" cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize\"},o=\"[-+]?\\\\d+(\\\\.\\\\d+)?\",s={begin:n,relevance:0},l={className:\"number\",begin:o,relevance:0},_=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),c=e.COMMENT(\";\",\"$\",{relevance:0}),E={className:\"literal\",begin:/\\b(true|false|nil)\\b/},d={begin:\"[\\\\[\\\\{]\",end:\"[\\\\]\\\\}]\"},u={className:\"comment\",begin:\"\\\\^\"+n},p=e.COMMENT(\"\\\\^\\\\{\",\"\\\\}\"),T={className:\"symbol\",begin:\"[:]{1,2}\"+n},g={begin:\"\\\\(\",end:\"\\\\)\"},S={endsWithParent:!0,relevance:0},b={keywords:i,className:\"name\",begin:n,relevance:0,starts:S},f=[g,_,u,p,c,T,d,l,E,s],C={beginKeywords:a,lexemes:n,end:'(\\\\[|#|\\\\d|\"|:|\\\\{|\\\\)|\\\\(|$)',contains:[{className:\"title\",begin:n,relevance:0,excludeEnd:!0,endsParent:!0}].concat(f)};return g.contains=[e.COMMENT(\"comment\",\"\"),C,b,S],S.contains=f,d.contains=f,p.contains=[d],{name:\"Clojure\",aliases:[\"clj\"],illegal:/\\S/,contains:[g,_,u,p,c,T,d,l,E]}}return Zt=t,Zt}var Jt,to;function Bc(){if(to)return Jt;to=1;function t(e){return{name:\"Clojure REPL\",contains:[{className:\"meta\",begin:/^([\\w.-]+|\\s*#_)?=>/,starts:{end:/$/,subLanguage:\"clojure\"}}]}}return Jt=t,Jt}var jt,no;function Gc(){if(no)return jt;no=1;function t(e){return{name:\"CMake\",aliases:[\"cmake.in\"],case_insensitive:!0,keywords:{keyword:\"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined\"},contains:[{className:\"variable\",begin:/\\$\\{/,end:/\\}/},e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}return jt=t,jt}var en,ao;function Yc(){if(ao)return en;ao=1;const t=[\"as\",\"in\",\"of\",\"if\",\"for\",\"while\",\"finally\",\"var\",\"new\",\"function\",\"do\",\"return\",\"void\",\"else\",\"break\",\"catch\",\"instanceof\",\"with\",\"throw\",\"case\",\"default\",\"try\",\"switch\",\"continue\",\"typeof\",\"delete\",\"let\",\"yield\",\"const\",\"class\",\"debugger\",\"async\",\"await\",\"static\",\"import\",\"from\",\"export\",\"extends\"],e=[\"true\",\"false\",\"null\",\"undefined\",\"NaN\",\"Infinity\"],r=[\"Intl\",\"DataView\",\"Number\",\"Math\",\"Date\",\"String\",\"RegExp\",\"Object\",\"Function\",\"Boolean\",\"Error\",\"Symbol\",\"Set\",\"Map\",\"WeakSet\",\"WeakMap\",\"Proxy\",\"Reflect\",\"JSON\",\"Promise\",\"Float64Array\",\"Int16Array\",\"Int32Array\",\"Int8Array\",\"Uint16Array\",\"Uint32Array\",\"Float32Array\",\"Array\",\"Uint8Array\",\"Uint8ClampedArray\",\"ArrayBuffer\",\"BigInt64Array\",\"BigUint64Array\",\"BigInt\"],n=[\"EvalError\",\"InternalError\",\"RangeError\",\"ReferenceError\",\"SyntaxError\",\"TypeError\",\"URIError\"],a=[\"setInterval\",\"setTimeout\",\"clearInterval\",\"clearTimeout\",\"require\",\"exports\",\"eval\",\"isFinite\",\"isNaN\",\"parseFloat\",\"parseInt\",\"decodeURI\",\"decodeURIComponent\",\"encodeURI\",\"encodeURIComponent\",\"escape\",\"unescape\"],i=[\"arguments\",\"this\",\"super\",\"console\",\"window\",\"document\",\"localStorage\",\"module\",\"global\"],o=[].concat(a,i,r,n);function s(l){const _=[\"npm\",\"print\"],c=[\"yes\",\"no\",\"on\",\"off\"],E=[\"then\",\"unless\",\"until\",\"loop\",\"by\",\"when\",\"and\",\"or\",\"is\",\"isnt\",\"not\"],d=[\"var\",\"const\",\"let\",\"function\",\"static\"],u=y=>A=>!y.includes(A),p={keyword:t.concat(E).filter(u(d)),literal:e.concat(c),built_in:o.concat(_)},T=\"[A-Za-z$_][0-9A-Za-z$_]*\",g={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:p},S=[l.BINARY_NUMBER_MODE,l.inherit(l.C_NUMBER_MODE,{starts:{end:\"(\\\\s*/)?\",relevance:0}}),{className:\"string\",variants:[{begin:/'''/,end:/'''/,contains:[l.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[l.BACKSLASH_ESCAPE]},{begin:/\"\"\"/,end:/\"\"\"/,contains:[l.BACKSLASH_ESCAPE,g]},{begin:/\"/,end:/\"/,contains:[l.BACKSLASH_ESCAPE,g]}]},{className:\"regexp\",variants:[{begin:\"///\",end:\"///\",contains:[g,l.HASH_COMMENT_MODE]},{begin:\"//[gim]{0,3}(?=\\\\W)\",relevance:0},{begin:/\\/(?![ *]).*?(?![\\\\]).\\/[gim]{0,3}(?=\\W)/}]},{begin:\"@\"+T},{subLanguage:\"javascript\",excludeBegin:!0,excludeEnd:!0,variants:[{begin:\"```\",end:\"```\"},{begin:\"`\",end:\"`\"}]}];g.contains=S;const b=l.inherit(l.TITLE_MODE,{begin:T}),f=\"(\\\\(.*\\\\)\\\\s*)?\\\\B[-=]>\",C={className:\"params\",begin:\"\\\\([^\\\\(]\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:p,contains:[\"self\"].concat(S)}]};return{name:\"CoffeeScript\",aliases:[\"coffee\",\"cson\",\"iced\"],keywords:p,illegal:/\\/\\*/,contains:S.concat([l.COMMENT(\"###\",\"###\"),l.HASH_COMMENT_MODE,{className:\"function\",begin:\"^\\\\s*\"+T+\"\\\\s*=\\\\s*\"+f,end:\"[-=]>\",returnBegin:!0,contains:[b,C]},{begin:/[:\\(,=]\\s*/,relevance:0,contains:[{className:\"function\",begin:f,end:\"[-=]>\",returnBegin:!0,contains:[C]}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[b]},b]},{begin:T+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}}return en=s,en}var tn,ro;function qc(){if(ro)return tn;ro=1;function t(e){return{name:\"Coq\",keywords:{keyword:\"_|0 as at cofix else end exists exists2 fix for forall fun if IF in let match mod Prop return Set then Type using where with Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture Conjectures Constant constr Constraint Constructors Context Corollary CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent Derive Drop eauto End Equality Eval Example Existential Existentials Existing Export exporting Extern Extract Extraction Fact Field Fields File Fixpoint Focus for From Function Functional Generalizable Global Goal Grab Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident Identity If Immediate Implicit Import Include Inductive Infix Info Initial Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation Obligations Opaque Open Optimize Options Parameter Parameters Parametric Path Paths pattern Polymorphic Preterm Print Printing Program Projections Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused Unfold Universe Universes Unset Unshelve using Variable Variables Variant Verbose Visibility where with\",built_in:\"abstract absurd admit after apply as assert assumption at auto autorewrite autounfold before bottom btauto by case case_eq cbn cbv change classical_left classical_right clear clearbody cofix compare compute congruence constr_eq constructor contradict contradiction cut cutrewrite cycle decide decompose dependent destruct destruction dintuition discriminate discrR do double dtauto eapply eassumption eauto ecase econstructor edestruct ediscriminate eelim eexact eexists einduction einjection eleft elim elimtype enough equality erewrite eright esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail field field_simplify field_simplify_eq first firstorder fix fold fourier functional generalize generalizing gfail give_up has_evar hnf idtac in induction injection instantiate intro intro_pattern intros intuition inversion inversion_clear is_evar is_var lapply lazy left lia lra move native_compute nia nsatz omega once pattern pose progress proof psatz quote record red refine reflexivity remember rename repeat replace revert revgoals rewrite rewrite_strat right ring ring_simplify rtauto set setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve specialize split split_Rabs split_Rmult stepl stepr subst sum swap symmetry tactic tauto time timeout top transitivity trivial try tryif unfold unify until using vm_compute with\"},contains:[e.QUOTE_STRING_MODE,e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\"),e.C_NUMBER_MODE,{className:\"type\",excludeBegin:!0,begin:\"\\\\|\\\\s*\",end:\"\\\\w+\"},{begin:/[-=]>/}]}}return tn=t,tn}var nn,io;function Hc(){if(io)return nn;io=1;function t(e){return{name:\"Caché Object Script\",case_insensitive:!0,aliases:[\"cls\"],keywords:\"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii\",contains:[{className:\"number\",begin:\"\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)\",relevance:0},{className:\"string\",variants:[{begin:'\"',end:'\"',contains:[{begin:'\"\"',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"comment\",begin:/;/,end:\"$\",relevance:0},{className:\"built_in\",begin:/(?:\\$\\$?|\\.\\.)\\^?[a-zA-Z]+/},{className:\"built_in\",begin:/\\$\\$\\$[a-zA-Z]+/},{className:\"built_in\",begin:/%[a-z]+(?:\\.[a-z]+)*/},{className:\"symbol\",begin:/\\^%?[a-zA-Z][\\w]*/},{className:\"keyword\",begin:/##class|##super|#define|#dim/},{begin:/&sql\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:\"sql\"},{begin:/&(js|jscript|javascript)/,excludeBegin:!0,excludeEnd:!0,subLanguage:\"javascript\"},{begin:/&html<\\s*\\s*>/,subLanguage:\"xml\"}]}}return nn=t,nn}var an,oo;function Vc(){if(oo)return an;oo=1;function t(i){return i?typeof i==\"string\"?i:i.source:null}function e(i){return n(\"(?=\",i,\")\")}function r(i){return n(\"(\",i,\")?\")}function n(...i){return i.map(s=>t(s)).join(\"\")}function a(i){const o=i.COMMENT(\"//\",\"$\",{contains:[{begin:/\\\\\\n/}]}),s=\"decltype\\\\(auto\\\\)\",l=\"[a-zA-Z_]\\\\w*::\",c=\"(\"+s+\"|\"+r(l)+\"[a-zA-Z_]\\\\w*\"+r(\"<[^<>]+>\")+\")\",E={className:\"keyword\",begin:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},u={className:\"string\",variants:[{begin:'(u8?|U|L)?\"',end:'\"',illegal:\"\\\\n\",contains:[i.BACKSLASH_ESCAPE]},{begin:\"(u8?|U|L)?'(\"+\"\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)\"+\"|.)\",end:\"'\",illegal:\".\"},i.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\(/,end:/\\)([^()\\\\ ]{0,16})\"/})]},p={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},T={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},i.inherit(u,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/},o,i.C_BLOCK_COMMENT_MODE]},g={className:\"title\",begin:r(l)+i.IDENT_RE,relevance:0},S=r(l)+i.IDENT_RE+\"\\\\s*\\\\(\",f={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_t short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"_Bool _Complex _Imaginary\",_relevance_hints:[\"asin\",\"atan2\",\"atan\",\"calloc\",\"ceil\",\"cosh\",\"cos\",\"exit\",\"exp\",\"fabs\",\"floor\",\"fmod\",\"fprintf\",\"fputs\",\"free\",\"frexp\",\"auto_ptr\",\"deque\",\"list\",\"queue\",\"stack\",\"vector\",\"map\",\"set\",\"pair\",\"bitset\",\"multiset\",\"multimap\",\"unordered_set\",\"fscanf\",\"future\",\"isalnum\",\"isalpha\",\"iscntrl\",\"isdigit\",\"isgraph\",\"islower\",\"isprint\",\"ispunct\",\"isspace\",\"isupper\",\"isxdigit\",\"tolower\",\"toupper\",\"labs\",\"ldexp\",\"log10\",\"log\",\"malloc\",\"realloc\",\"memchr\",\"memcmp\",\"memcpy\",\"memset\",\"modf\",\"pow\",\"printf\",\"putchar\",\"puts\",\"scanf\",\"sinh\",\"sin\",\"snprintf\",\"sprintf\",\"sqrt\",\"sscanf\",\"strcat\",\"strchr\",\"strcmp\",\"strcpy\",\"strcspn\",\"strlen\",\"strncat\",\"strncmp\",\"strncpy\",\"strpbrk\",\"strrchr\",\"strspn\",\"strstr\",\"tanh\",\"tan\",\"unordered_map\",\"unordered_multiset\",\"unordered_multimap\",\"priority_queue\",\"make_pair\",\"array\",\"shared_ptr\",\"abort\",\"terminate\",\"abs\",\"acos\",\"vfprintf\",\"vprintf\",\"vsprintf\",\"endl\",\"initializer_list\",\"unique_ptr\",\"complex\",\"imaginary\",\"std\",\"string\",\"wstring\",\"cin\",\"cout\",\"cerr\",\"clog\",\"stdin\",\"stdout\",\"stderr\",\"stringstream\",\"istringstream\",\"ostringstream\"],literal:\"true false nullptr NULL\"},C={className:\"function.dispatch\",relevance:0,keywords:f,begin:n(/\\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!while)/,i.IDENT_RE,e(/\\s*\\(/))},y=[C,T,E,o,i.C_BLOCK_COMMENT_MODE,p,u],A={variants:[{begin:/=/,end:/;/},{begin:/\\(/,end:/\\)/},{beginKeywords:\"new throw return else\",end:/;/}],keywords:f,contains:y.concat([{begin:/\\(/,end:/\\)/,keywords:f,contains:y.concat([\"self\"]),relevance:0}]),relevance:0},O={className:\"function\",begin:\"(\"+c+\"[\\\\*&\\\\s]+)+\"+S,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:f,illegal:/[^\\w\\s\\*&:<>.]/,contains:[{begin:s,keywords:f,relevance:0},{begin:S,returnBegin:!0,contains:[g],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[u,p]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:f,relevance:0,contains:[o,i.C_BLOCK_COMMENT_MODE,u,p,E,{begin:/\\(/,end:/\\)/,keywords:f,relevance:0,contains:[\"self\",o,i.C_BLOCK_COMMENT_MODE,u,p,E]}]},E,o,i.C_BLOCK_COMMENT_MODE,T]};return{name:\"C++\",aliases:[\"cc\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],keywords:f,illegal:\"\",keywords:f,contains:[\"self\",E]},{begin:i.IDENT_RE+\"::\",keywords:f},{className:\"class\",beginKeywords:\"enum class struct union\",end:/[{;:<>=]/,contains:[{beginKeywords:\"final class struct\"},i.TITLE_MODE]}]),exports:{preprocessor:T,strings:u,keywords:f}}}return an=a,an}var rn,so;function Wc(){if(so)return rn;so=1;function t(e){const r=\"primitive rsc_template\",n=\"group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml\";return{name:\"crmsh\",aliases:[\"crm\",\"pcmk\"],case_insensitive:!0,keywords:{keyword:\"params meta operations op rule attributes utilization\"+\" \"+\"read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\\\\"+\" \"+\"number string\",literal:\"Master Started Slave Stopped start promote demote stop monitor true false\"},contains:[e.HASH_COMMENT_MODE,{beginKeywords:\"node\",starts:{end:\"\\\\s*([\\\\w_-]+:)?\",starts:{className:\"title\",end:\"\\\\s*[\\\\$\\\\w_][\\\\w_-]*\"}}},{beginKeywords:r,starts:{className:\"title\",end:\"\\\\s*[\\\\$\\\\w_][\\\\w_-]*\",starts:{end:\"\\\\s*@?[\\\\w_][\\\\w_\\\\.:-]*\"}}},{begin:\"\\\\b(\"+n.split(\" \").join(\"|\")+\")\\\\s+\",keywords:n,starts:{className:\"title\",end:\"[\\\\$\\\\w_][\\\\w_-]*\"}},{beginKeywords:\"property rsc_defaults op_defaults\",starts:{className:\"title\",end:\"\\\\s*([\\\\w_-]+:)?\"}},e.QUOTE_STRING_MODE,{className:\"meta\",begin:\"(ocf|systemd|service|lsb):[\\\\w_:-]+\",relevance:0},{className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(ms|s|h|m)?\",relevance:0},{className:\"literal\",begin:\"[-]?(infinity|inf)\",relevance:0},{className:\"attr\",begin:/([A-Za-z$_#][\\w_-]+)=/,relevance:0},{className:\"tag\",begin:\"\",relevance:0}]}}return rn=t,rn}var on,lo;function zc(){if(lo)return on;lo=1;function t(e){const r=\"(_?[ui](8|16|32|64|128))?\",n=\"(_?f(32|64))?\",a=\"[a-zA-Z_]\\\\w*[!?=]?\",i=\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\\\*\\\\*|\\\\[\\\\][=?]?\",o=\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|!)?\",s={$pattern:a,keyword:\"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__\",literal:\"false nil true\"},l={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:s},_={className:\"template-variable\",variants:[{begin:\"\\\\{\\\\{\",end:\"\\\\}\\\\}\"},{begin:\"\\\\{%\",end:\"%\\\\}\"}],keywords:s};function c(S,b){const f=[{begin:S,end:b}];return f[0].contains=f,f}const E={className:\"string\",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/`/,end:/`/},{begin:\"%[Qwi]?\\\\(\",end:\"\\\\)\",contains:c(\"\\\\(\",\"\\\\)\")},{begin:\"%[Qwi]?\\\\[\",end:\"\\\\]\",contains:c(\"\\\\[\",\"\\\\]\")},{begin:\"%[Qwi]?\\\\{\",end:/\\}/,contains:c(/\\{/,/\\}/)},{begin:\"%[Qwi]?<\",end:\">\",contains:c(\"<\",\">\")},{begin:\"%[Qwi]?\\\\|\",end:\"\\\\|\"},{begin:/<<-\\w+$/,end:/^\\s*\\w+$/}],relevance:0},d={className:\"string\",variants:[{begin:\"%q\\\\(\",end:\"\\\\)\",contains:c(\"\\\\(\",\"\\\\)\")},{begin:\"%q\\\\[\",end:\"\\\\]\",contains:c(\"\\\\[\",\"\\\\]\")},{begin:\"%q\\\\{\",end:/\\}/,contains:c(/\\{/,/\\}/)},{begin:\"%q<\",end:\">\",contains:c(\"<\",\">\")},{begin:\"%q\\\\|\",end:\"\\\\|\"},{begin:/<<-'\\w+'$/,end:/^\\s*\\w+$/}],relevance:0},u={begin:\"(?!%\\\\})(\"+e.RE_STARTERS_RE+\"|\\\\n|\\\\b(case|if|select|unless|until|when|while)\\\\b)\\\\s*\",keywords:\"case if select unless until when while\",contains:[{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:\"//[a-z]*\",relevance:0},{begin:\"/(?!\\\\/)\",end:\"/[a-z]*\"}]}],relevance:0},p={className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:\"%r\\\\(\",end:\"\\\\)\",contains:c(\"\\\\(\",\"\\\\)\")},{begin:\"%r\\\\[\",end:\"\\\\]\",contains:c(\"\\\\[\",\"\\\\]\")},{begin:\"%r\\\\{\",end:/\\}/,contains:c(/\\{/,/\\}/)},{begin:\"%r<\",end:\">\",contains:c(\"<\",\">\")},{begin:\"%r\\\\|\",end:\"\\\\|\"}],relevance:0},T={className:\"meta\",begin:\"@\\\\[\",end:\"\\\\]\",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"})]},g=[_,E,d,p,u,T,e.HASH_COMMENT_MODE,{className:\"class\",beginKeywords:\"class module struct\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o}),{begin:\"<\"}]},{className:\"class\",beginKeywords:\"lib enum union\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o})]},{beginKeywords:\"annotation\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o})],relevance:2},{className:\"function\",beginKeywords:\"def\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})]},{className:\"function\",beginKeywords:\"fun macro\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})],relevance:2},{className:\"symbol\",begin:e.UNDERSCORE_IDENT_RE+\"(!|\\\\?)?:\",relevance:0},{className:\"symbol\",begin:\":\",contains:[E,{begin:i}],relevance:0},{className:\"number\",variants:[{begin:\"\\\\b0b([01_]+)\"+r},{begin:\"\\\\b0o([0-7_]+)\"+r},{begin:\"\\\\b0x([A-Fa-f0-9_]+)\"+r},{begin:\"\\\\b([1-9][0-9_]*[0-9]|[0-9])(\\\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?\"+n+\"(?!_)\"},{begin:\"\\\\b([1-9][0-9_]*|0)\"+r}],relevance:0}];return l.contains=g,_.contains=g.slice(1),{name:\"Crystal\",aliases:[\"cr\"],keywords:s,contains:g}}return on=t,on}var sn,co;function Kc(){if(co)return sn;co=1;function t(e){const r=[\"bool\",\"byte\",\"char\",\"decimal\",\"delegate\",\"double\",\"dynamic\",\"enum\",\"float\",\"int\",\"long\",\"nint\",\"nuint\",\"object\",\"sbyte\",\"short\",\"string\",\"ulong\",\"uint\",\"ushort\"],n=[\"public\",\"private\",\"protected\",\"static\",\"internal\",\"protected\",\"abstract\",\"async\",\"extern\",\"override\",\"unsafe\",\"virtual\",\"new\",\"sealed\",\"partial\"],a=[\"default\",\"false\",\"null\",\"true\"],i=[\"abstract\",\"as\",\"base\",\"break\",\"case\",\"class\",\"const\",\"continue\",\"do\",\"else\",\"event\",\"explicit\",\"extern\",\"finally\",\"fixed\",\"for\",\"foreach\",\"goto\",\"if\",\"implicit\",\"in\",\"interface\",\"internal\",\"is\",\"lock\",\"namespace\",\"new\",\"operator\",\"out\",\"override\",\"params\",\"private\",\"protected\",\"public\",\"readonly\",\"record\",\"ref\",\"return\",\"sealed\",\"sizeof\",\"stackalloc\",\"static\",\"struct\",\"switch\",\"this\",\"throw\",\"try\",\"typeof\",\"unchecked\",\"unsafe\",\"using\",\"virtual\",\"void\",\"volatile\",\"while\"],o=[\"add\",\"alias\",\"and\",\"ascending\",\"async\",\"await\",\"by\",\"descending\",\"equals\",\"from\",\"get\",\"global\",\"group\",\"init\",\"into\",\"join\",\"let\",\"nameof\",\"not\",\"notnull\",\"on\",\"or\",\"orderby\",\"partial\",\"remove\",\"select\",\"set\",\"unmanaged\",\"value|0\",\"var\",\"when\",\"where\",\"with\",\"yield\"],s={keyword:i.concat(o),built_in:r,literal:a},l=e.inherit(e.TITLE_MODE,{begin:\"[a-zA-Z](\\\\.?\\\\w)*\"}),_={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)(u|U|l|L|ul|UL|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},c={className:\"string\",begin:'@\"',end:'\"',contains:[{begin:'\"\"'}]},E=e.inherit(c,{illegal:/\\n/}),d={className:\"subst\",begin:/\\{/,end:/\\}/,keywords:s},u=e.inherit(d,{illegal:/\\n/}),p={className:\"string\",begin:/\\$\"/,end:'\"',illegal:/\\n/,contains:[{begin:/\\{\\{/},{begin:/\\}\\}/},e.BACKSLASH_ESCAPE,u]},T={className:\"string\",begin:/\\$@\"/,end:'\"',contains:[{begin:/\\{\\{/},{begin:/\\}\\}/},{begin:'\"\"'},d]},g=e.inherit(T,{illegal:/\\n/,contains:[{begin:/\\{\\{/},{begin:/\\}\\}/},{begin:'\"\"'},u]});d.contains=[T,p,c,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,_,e.C_BLOCK_COMMENT_MODE],u.contains=[g,p,E,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,_,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\\n/})];const S={variants:[T,p,c,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},b={begin:\"<\",end:\">\",contains:[{beginKeywords:\"in out\"},l]},f=e.IDENT_RE+\"(<\"+e.IDENT_RE+\"(\\\\s*,\\\\s*\"+e.IDENT_RE+\")*>)?(\\\\[\\\\])?\",C={begin:\"@\"+e.IDENT_RE,relevance:0};return{name:\"C#\",aliases:[\"cs\",\"c#\"],keywords:s,illegal:/::/,contains:[e.COMMENT(\"///\",\"$\",{returnBegin:!0,contains:[{className:\"doctag\",variants:[{begin:\"///\",relevance:0},{begin:\"\"},{begin:\"\"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line region endregion pragma checksum\"}},S,_,{beginKeywords:\"class interface\",relevance:0,end:/[{;=]/,illegal:/[^\\s:,]/,contains:[{beginKeywords:\"where class\"},l,b,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:\"namespace\",relevance:0,end:/[{;=]/,illegal:/[^\\s:]/,contains:[l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:\"record\",relevance:0,end:/[{;=]/,illegal:/[^\\s:]/,contains:[l,b,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"meta\",begin:\"^\\\\s*\\\\[\",excludeBegin:!0,end:\"\\\\]\",excludeEnd:!0,contains:[{className:\"meta-string\",begin:/\"/,end:/\"/}]},{beginKeywords:\"new return throw await else\",relevance:0},{className:\"function\",begin:\"(\"+f+\"\\\\s+)+\"+e.IDENT_RE+\"\\\\s*(<.+>\\\\s*)?\\\\(\",returnBegin:!0,end:/\\s*[{;=]/,excludeEnd:!0,keywords:s,contains:[{beginKeywords:n.join(\" \"),relevance:0},{begin:e.IDENT_RE+\"\\\\s*(<.+>\\\\s*)?\\\\(\",returnBegin:!0,contains:[e.TITLE_MODE,b],relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:s,relevance:0,contains:[S,_,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},C]}}return sn=t,sn}var ln,_o;function $c(){if(_o)return ln;_o=1;function t(e){return{name:\"CSP\",case_insensitive:!1,keywords:{$pattern:\"[a-zA-Z][a-zA-Z0-9_-]*\",keyword:\"base-uri child-src connect-src default-src font-src form-action frame-ancestors frame-src img-src media-src object-src plugin-types report-uri sandbox script-src style-src\"},contains:[{className:\"string\",begin:\"'\",end:\"'\"},{className:\"attribute\",begin:\"^Content\",end:\":\",excludeEnd:!0}]}}return ln=t,ln}var cn,uo;function Qc(){if(uo)return cn;uo=1;const t=c=>({IMPORTANT:{className:\"meta\",begin:\"!important\"},HEXCOLOR:{className:\"number\",begin:\"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})\"},ATTRIBUTE_SELECTOR_MODE:{className:\"selector-attr\",begin:/\\[/,end:/\\]/,illegal:\"$\",contains:[c.APOS_STRING_MODE,c.QUOTE_STRING_MODE]}}),e=[\"a\",\"abbr\",\"address\",\"article\",\"aside\",\"audio\",\"b\",\"blockquote\",\"body\",\"button\",\"canvas\",\"caption\",\"cite\",\"code\",\"dd\",\"del\",\"details\",\"dfn\",\"div\",\"dl\",\"dt\",\"em\",\"fieldset\",\"figcaption\",\"figure\",\"footer\",\"form\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"header\",\"hgroup\",\"html\",\"i\",\"iframe\",\"img\",\"input\",\"ins\",\"kbd\",\"label\",\"legend\",\"li\",\"main\",\"mark\",\"menu\",\"nav\",\"object\",\"ol\",\"p\",\"q\",\"quote\",\"samp\",\"section\",\"span\",\"strong\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"textarea\",\"tfoot\",\"th\",\"thead\",\"time\",\"tr\",\"ul\",\"var\",\"video\"],r=[\"any-hover\",\"any-pointer\",\"aspect-ratio\",\"color\",\"color-gamut\",\"color-index\",\"device-aspect-ratio\",\"device-height\",\"device-width\",\"display-mode\",\"forced-colors\",\"grid\",\"height\",\"hover\",\"inverted-colors\",\"monochrome\",\"orientation\",\"overflow-block\",\"overflow-inline\",\"pointer\",\"prefers-color-scheme\",\"prefers-contrast\",\"prefers-reduced-motion\",\"prefers-reduced-transparency\",\"resolution\",\"scan\",\"scripting\",\"update\",\"width\",\"min-width\",\"max-width\",\"min-height\",\"max-height\"],n=[\"active\",\"any-link\",\"blank\",\"checked\",\"current\",\"default\",\"defined\",\"dir\",\"disabled\",\"drop\",\"empty\",\"enabled\",\"first\",\"first-child\",\"first-of-type\",\"fullscreen\",\"future\",\"focus\",\"focus-visible\",\"focus-within\",\"has\",\"host\",\"host-context\",\"hover\",\"indeterminate\",\"in-range\",\"invalid\",\"is\",\"lang\",\"last-child\",\"last-of-type\",\"left\",\"link\",\"local-link\",\"not\",\"nth-child\",\"nth-col\",\"nth-last-child\",\"nth-last-col\",\"nth-last-of-type\",\"nth-of-type\",\"only-child\",\"only-of-type\",\"optional\",\"out-of-range\",\"past\",\"placeholder-shown\",\"read-only\",\"read-write\",\"required\",\"right\",\"root\",\"scope\",\"target\",\"target-within\",\"user-invalid\",\"valid\",\"visited\",\"where\"],a=[\"after\",\"backdrop\",\"before\",\"cue\",\"cue-region\",\"first-letter\",\"first-line\",\"grammar-error\",\"marker\",\"part\",\"placeholder\",\"selection\",\"slotted\",\"spelling-error\"],i=[\"align-content\",\"align-items\",\"align-self\",\"animation\",\"animation-delay\",\"animation-direction\",\"animation-duration\",\"animation-fill-mode\",\"animation-iteration-count\",\"animation-name\",\"animation-play-state\",\"animation-timing-function\",\"auto\",\"backface-visibility\",\"background\",\"background-attachment\",\"background-clip\",\"background-color\",\"background-image\",\"background-origin\",\"background-position\",\"background-repeat\",\"background-size\",\"border\",\"border-bottom\",\"border-bottom-color\",\"border-bottom-left-radius\",\"border-bottom-right-radius\",\"border-bottom-style\",\"border-bottom-width\",\"border-collapse\",\"border-color\",\"border-image\",\"border-image-outset\",\"border-image-repeat\",\"border-image-slice\",\"border-image-source\",\"border-image-width\",\"border-left\",\"border-left-color\",\"border-left-style\",\"border-left-width\",\"border-radius\",\"border-right\",\"border-right-color\",\"border-right-style\",\"border-right-width\",\"border-spacing\",\"border-style\",\"border-top\",\"border-top-color\",\"border-top-left-radius\",\"border-top-right-radius\",\"border-top-style\",\"border-top-width\",\"border-width\",\"bottom\",\"box-decoration-break\",\"box-shadow\",\"box-sizing\",\"break-after\",\"break-before\",\"break-inside\",\"caption-side\",\"clear\",\"clip\",\"clip-path\",\"color\",\"column-count\",\"column-fill\",\"column-gap\",\"column-rule\",\"column-rule-color\",\"column-rule-style\",\"column-rule-width\",\"column-span\",\"column-width\",\"columns\",\"content\",\"counter-increment\",\"counter-reset\",\"cursor\",\"direction\",\"display\",\"empty-cells\",\"filter\",\"flex\",\"flex-basis\",\"flex-direction\",\"flex-flow\",\"flex-grow\",\"flex-shrink\",\"flex-wrap\",\"float\",\"font\",\"font-display\",\"font-family\",\"font-feature-settings\",\"font-kerning\",\"font-language-override\",\"font-size\",\"font-size-adjust\",\"font-smoothing\",\"font-stretch\",\"font-style\",\"font-variant\",\"font-variant-ligatures\",\"font-variation-settings\",\"font-weight\",\"height\",\"hyphens\",\"icon\",\"image-orientation\",\"image-rendering\",\"image-resolution\",\"ime-mode\",\"inherit\",\"initial\",\"justify-content\",\"left\",\"letter-spacing\",\"line-height\",\"list-style\",\"list-style-image\",\"list-style-position\",\"list-style-type\",\"margin\",\"margin-bottom\",\"margin-left\",\"margin-right\",\"margin-top\",\"marks\",\"mask\",\"max-height\",\"max-width\",\"min-height\",\"min-width\",\"nav-down\",\"nav-index\",\"nav-left\",\"nav-right\",\"nav-up\",\"none\",\"normal\",\"object-fit\",\"object-position\",\"opacity\",\"order\",\"orphans\",\"outline\",\"outline-color\",\"outline-offset\",\"outline-style\",\"outline-width\",\"overflow\",\"overflow-wrap\",\"overflow-x\",\"overflow-y\",\"padding\",\"padding-bottom\",\"padding-left\",\"padding-right\",\"padding-top\",\"page-break-after\",\"page-break-before\",\"page-break-inside\",\"perspective\",\"perspective-origin\",\"pointer-events\",\"position\",\"quotes\",\"resize\",\"right\",\"src\",\"tab-size\",\"table-layout\",\"text-align\",\"text-align-last\",\"text-decoration\",\"text-decoration-color\",\"text-decoration-line\",\"text-decoration-style\",\"text-indent\",\"text-overflow\",\"text-rendering\",\"text-shadow\",\"text-transform\",\"text-underline-position\",\"top\",\"transform\",\"transform-origin\",\"transform-style\",\"transition\",\"transition-delay\",\"transition-duration\",\"transition-property\",\"transition-timing-function\",\"unicode-bidi\",\"vertical-align\",\"visibility\",\"white-space\",\"widows\",\"width\",\"word-break\",\"word-spacing\",\"word-wrap\",\"z-index\"].reverse();function o(c){return c?typeof c==\"string\"?c:c.source:null}function s(c){return l(\"(?=\",c,\")\")}function l(...c){return c.map(d=>o(d)).join(\"\")}function _(c){const E=t(c),d={className:\"built_in\",begin:/[\\w-]+(?=\\()/},u={begin:/-(webkit|moz|ms|o)-(?=[a-z])/},p=\"and or not only\",T=/@-?\\w[\\w]*(-\\w+)*/,g=\"[a-zA-Z-][a-zA-Z0-9_-]*\",S=[c.APOS_STRING_MODE,c.QUOTE_STRING_MODE];return{name:\"CSS\",case_insensitive:!0,illegal:/[=|'\\$]/,keywords:{keyframePosition:\"from to\"},classNameAliases:{keyframePosition:\"selector-tag\"},contains:[c.C_BLOCK_COMMENT_MODE,u,c.CSS_NUMBER_MODE,{className:\"selector-id\",begin:/#[A-Za-z0-9_-]+/,relevance:0},{className:\"selector-class\",begin:\"\\\\.\"+g,relevance:0},E.ATTRIBUTE_SELECTOR_MODE,{className:\"selector-pseudo\",variants:[{begin:\":(\"+n.join(\"|\")+\")\"},{begin:\"::(\"+a.join(\"|\")+\")\"}]},{className:\"attribute\",begin:\"\\\\b(\"+i.join(\"|\")+\")\\\\b\"},{begin:\":\",end:\"[;}]\",contains:[E.HEXCOLOR,E.IMPORTANT,c.CSS_NUMBER_MODE,...S,{begin:/(url|data-uri)\\(/,end:/\\)/,relevance:0,keywords:{built_in:\"url data-uri\"},contains:[{className:\"string\",begin:/[^)]/,endsWithParent:!0,excludeEnd:!0}]},d]},{begin:s(/@/),end:\"[{;]\",relevance:0,illegal:/:/,contains:[{className:\"keyword\",begin:T},{begin:/\\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:{$pattern:/[a-z-]+/,keyword:p,attribute:r.join(\" \")},contains:[{begin:/[a-z-]+(?=:)/,className:\"attribute\"},...S,c.CSS_NUMBER_MODE]}]},{className:\"selector-tag\",begin:\"\\\\b(\"+e.join(\"|\")+\")\\\\b\"}]}}return cn=_,cn}var _n,mo;function Xc(){if(mo)return _n;mo=1;function t(e){const r={$pattern:e.UNDERSCORE_IDENT_RE,keyword:\"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__\",built_in:\"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring\",literal:\"false null true\"},n=\"(0|[1-9][\\\\d_]*)\",a=\"(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)\",i=\"0[bB][01_]+\",o=\"([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*)\",s=\"0[xX]\"+o,l=\"([eE][+-]?\"+a+\")\",_=\"(\"+a+\"(\\\\.\\\\d*|\"+l+\")|\\\\d+\\\\.\"+a+\"|\\\\.\"+n+l+\"?)\",c=\"(0[xX](\"+o+\"\\\\.\"+o+\"|\\\\.?\"+o+\")[pP][+-]?\"+a+\")\",E=\"(\"+n+\"|\"+i+\"|\"+s+\")\",d=\"(\"+c+\"|\"+_+\")\",u=`\\\\\\\\(['\"\\\\?\\\\\\\\abfnrtv]|u[\\\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\\\dA-Fa-f]{2}|U[\\\\dA-Fa-f]{8})|&[a-zA-Z\\\\d]{2,};`,p={className:\"number\",begin:\"\\\\b\"+E+\"(L|u|U|Lu|LU|uL|UL)?\",relevance:0},T={className:\"number\",begin:\"\\\\b(\"+d+\"([fF]|L|i|[fF]i|Li)?|\"+E+\"(i|[fF]i|Li))\",relevance:0},g={className:\"string\",begin:\"'(\"+u+\"|.)\",end:\"'\",illegal:\".\"},b={className:\"string\",begin:'\"',contains:[{begin:u,relevance:0}],end:'\"[cwd]?'},f={className:\"string\",begin:'[rq]\"',end:'\"[cwd]?',relevance:5},C={className:\"string\",begin:\"`\",end:\"`[cwd]?\"},y={className:\"string\",begin:'x\"[\\\\da-fA-F\\\\s\\\\n\\\\r]*\"[cwd]?',relevance:10},A={className:\"string\",begin:'q\"\\\\{',end:'\\\\}\"'},O={className:\"meta\",begin:\"^#!\",end:\"$\",relevance:5},P={className:\"meta\",begin:\"#(line)\",end:\"$\",relevance:5},w={className:\"keyword\",begin:\"@[a-zA-Z_][a-zA-Z_\\\\d]*\"},F=e.COMMENT(\"\\\\/\\\\+\",\"\\\\+\\\\/\",{contains:[\"self\"],relevance:10});return{name:\"D\",keywords:r,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,F,y,b,f,C,A,T,p,g,O,P,w]}}return _n=t,_n}var dn,Eo;function Zc(){if(Eo)return dn;Eo=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={begin:/<\\/?[A-Za-z_]/,end:\">\",subLanguage:\"xml\",relevance:0},i={begin:\"^[-\\\\*]{3,}\",end:\"$\"},o={className:\"code\",variants:[{begin:\"(`{3,})[^`](.|\\\\n)*?\\\\1`*[ ]*\"},{begin:\"(~{3,})[^~](.|\\\\n)*?\\\\1~*[ ]*\"},{begin:\"```\",end:\"```+[ ]*$\"},{begin:\"~~~\",end:\"~~~+[ ]*$\"},{begin:\"`.+?`\"},{begin:\"(?=^( {4}|\\\\t))\",contains:[{begin:\"^( {4}|\\\\t)\",end:\"(\\\\n)$\"}],relevance:0}]},s={className:\"bullet\",begin:\"^[ \t]*([*+-]|(\\\\d+\\\\.))(?=\\\\s+)\",end:\"\\\\s+\",excludeEnd:!0},l={begin:/^\\[[^\\n]+\\]:/,returnBegin:!0,contains:[{className:\"symbol\",begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0},{className:\"link\",begin:/:\\s*/,end:/$/,excludeBegin:!0}]},c={variants:[{begin:/\\[.+?\\]\\[.*?\\]/,relevance:0},{begin:/\\[.+?\\]\\(((data|javascript|mailto):|(?:http|ftp)s?:\\/\\/).*?\\)/,relevance:2},{begin:e(/\\[.+?\\]\\(/,/[A-Za-z][A-Za-z0-9+.-]*/,/:\\/\\/.*?\\)/),relevance:2},{begin:/\\[.+?\\]\\([./?&#].*?\\)/,relevance:1},{begin:/\\[.+?\\]\\(.*?\\)/,relevance:0}],returnBegin:!0,contains:[{className:\"string\",relevance:0,begin:\"\\\\[\",end:\"\\\\]\",excludeBegin:!0,returnEnd:!0},{className:\"link\",relevance:0,begin:\"\\\\]\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0},{className:\"symbol\",relevance:0,begin:\"\\\\]\\\\[\",end:\"\\\\]\",excludeBegin:!0,excludeEnd:!0}]},E={className:\"strong\",contains:[],variants:[{begin:/_{2}/,end:/_{2}/},{begin:/\\*{2}/,end:/\\*{2}/}]},d={className:\"emphasis\",contains:[],variants:[{begin:/\\*(?!\\*)/,end:/\\*/},{begin:/_(?!_)/,end:/_/,relevance:0}]};E.contains.push(d),d.contains.push(E);let u=[a,c];return E.contains=E.contains.concat(u),d.contains=d.contains.concat(u),u=u.concat(E,d),{name:\"Markdown\",aliases:[\"md\",\"mkdown\",\"mkd\"],contains:[{className:\"section\",variants:[{begin:\"^#{1,6}\",end:\"$\",contains:u},{begin:\"(?=^.+?\\\\n[=-]{2,}$)\",contains:[{begin:\"^[=-]*$\"},{begin:\"^\",end:\"\\\\n\",contains:u}]}]},a,s,E,d,{className:\"quote\",begin:\"^>\\\\s+\",contains:u,end:\"$\"},o,i,c,l]}}return dn=r,dn}var un,po;function Jc(){if(po)return un;po=1;function t(e){const r={className:\"subst\",variants:[{begin:\"\\\\$[A-Za-z0-9_]+\"}]},n={className:\"subst\",variants:[{begin:/\\$\\{/,end:/\\}/}],keywords:\"true false null this is new super\"},a={className:\"string\",variants:[{begin:\"r'''\",end:\"'''\"},{begin:'r\"\"\"',end:'\"\"\"'},{begin:\"r'\",end:\"'\",illegal:\"\\\\n\"},{begin:'r\"',end:'\"',illegal:\"\\\\n\"},{begin:\"'''\",end:\"'''\",contains:[e.BACKSLASH_ESCAPE,r,n]},{begin:'\"\"\"',end:'\"\"\"',contains:[e.BACKSLASH_ESCAPE,r,n]},{begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,r,n]},{begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,r,n]}]};n.contains=[e.C_NUMBER_MODE,a];const i=[\"Comparable\",\"DateTime\",\"Duration\",\"Function\",\"Iterable\",\"Iterator\",\"List\",\"Map\",\"Match\",\"Object\",\"Pattern\",\"RegExp\",\"Set\",\"Stopwatch\",\"String\",\"StringBuffer\",\"StringSink\",\"Symbol\",\"Type\",\"Uri\",\"bool\",\"double\",\"int\",\"num\",\"Element\",\"ElementList\"],o=i.map(l=>`${l}?`);return{name:\"Dart\",keywords:{keyword:\"abstract as assert async await break case catch class const continue covariant default deferred do dynamic else enum export extends extension external factory false final finally for Function get hide if implements import in inferface is late library mixin new null on operator part required rethrow return set show static super switch sync this throw true try typedef var void while with yield\",built_in:i.concat(o).concat([\"Never\",\"Null\",\"dynamic\",\"print\",\"document\",\"querySelector\",\"querySelectorAll\",\"window\"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\\??/},contains:[a,e.COMMENT(/\\/\\*\\*(?!\\/)/,/\\*\\//,{subLanguage:\"markdown\",relevance:0}),e.COMMENT(/\\/{3,} ?/,/$/,{contains:[{subLanguage:\"markdown\",begin:\".\",end:\"$\",relevance:0}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"class\",beginKeywords:\"class interface\",end:/\\{/,excludeEnd:!0,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"},{begin:\"=>\"}]}}return un=t,un}var mn,go;function jc(){if(go)return mn;go=1;function t(e){const r=\"exports register file shl array record property for mod while set ally label uses raise not stored class safecall var interface or private static exit index inherited to else stdcall override shr asm far resourcestring finalization packed virtual out and protected library do xorwrite goto near function end div overload object unit begin string on inline repeat until destructor write message program with read initialization except default nil if case cdecl in downto threadvar of try pascal const external constructor type public then implementation finally published procedure absolute reintroduce operator as is abstract alias assembler bitpacked break continue cppdecl cvar enumerator experimental platform deprecated unimplemented dynamic export far16 forward generic helper implements interrupt iochecks local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat specialize strict unaligned varargs \",n=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\\{/,/\\}/,{relevance:0}),e.COMMENT(/\\(\\*/,/\\*\\)/,{relevance:10})],a={className:\"meta\",variants:[{begin:/\\{\\$/,end:/\\}/},{begin:/\\(\\*\\$/,end:/\\*\\)/}]},i={className:\"string\",begin:/'/,end:/'/,contains:[{begin:/''/}]},o={className:\"number\",relevance:0,variants:[{begin:\"\\\\$[0-9A-Fa-f]+\"},{begin:\"&[0-7]+\"},{begin:\"%[01]+\"}]},s={className:\"string\",begin:/(#\\d+)+/},l={begin:e.IDENT_RE+\"\\\\s*=\\\\s*class\\\\s*\\\\(\",returnBegin:!0,contains:[e.TITLE_MODE]},_={className:\"function\",beginKeywords:\"function constructor destructor procedure\",end:/[:;]/,keywords:\"function constructor|10 destructor|10 procedure|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:r,contains:[i,s,a].concat(n)},a].concat(n)};return{name:\"Delphi\",aliases:[\"dpr\",\"dfm\",\"pas\",\"pascal\",\"freepascal\",\"lazarus\",\"lpr\",\"lfm\"],case_insensitive:!0,keywords:r,illegal:/\"|\\$[G-Zg-z]|\\/\\*|<\\/|\\|/,contains:[i,s,e.NUMBER_MODE,o,l,_,a].concat(n)}}return mn=t,mn}var En,So;function e_(){if(So)return En;So=1;function t(e){return{name:\"Diff\",aliases:[\"patch\"],contains:[{className:\"meta\",relevance:10,variants:[{begin:/^@@ +-\\d+,\\d+ +\\+\\d+,\\d+ +@@/},{begin:/^\\*\\*\\* +\\d+,\\d+ +\\*\\*\\*\\*$/},{begin:/^--- +\\d+,\\d+ +----$/}]},{className:\"comment\",variants:[{begin:/Index: /,end:/$/},{begin:/^index/,end:/$/},{begin:/={3,}/,end:/$/},{begin:/^-{3}/,end:/$/},{begin:/^\\*{3} /,end:/$/},{begin:/^\\+{3}/,end:/$/},{begin:/^\\*{15}$/},{begin:/^diff --git/,end:/$/}]},{className:\"addition\",begin:/^\\+/,end:/$/},{className:\"deletion\",begin:/^-/,end:/$/},{className:\"addition\",begin:/^!/,end:/$/}]}}return En=t,En}var pn,To;function t_(){if(To)return pn;To=1;function t(e){const r={begin:/\\|[A-Za-z]+:?/,keywords:{name:\"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone\"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{name:\"Django\",aliases:[\"jinja\"],case_insensitive:!0,subLanguage:\"xml\",contains:[e.COMMENT(/\\{%\\s*comment\\s*%\\}/,/\\{%\\s*endcomment\\s*%\\}/),e.COMMENT(/\\{#/,/#\\}/),{className:\"template-tag\",begin:/\\{%/,end:/%\\}/,contains:[{className:\"name\",begin:/\\w+/,keywords:{name:\"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim\"},starts:{endsWithParent:!0,keywords:\"in by as\",contains:[r],relevance:0}}]},{className:\"template-variable\",begin:/\\{\\{/,end:/\\}\\}/,contains:[r]}]}}return pn=t,pn}var gn,bo;function n_(){if(bo)return gn;bo=1;function t(e){return{name:\"DNS Zone\",aliases:[\"bind\",\"zone\"],keywords:{keyword:\"IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT\"},contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"meta\",begin:/^\\$(TTL|GENERATE|INCLUDE|ORIGIN)\\b/},{className:\"number\",begin:\"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:)))\\\\b\"},{className:\"number\",begin:\"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b\"},e.inherit(e.NUMBER_MODE,{begin:/\\b\\d+[dhwm]?/})]}}return gn=t,gn}var Sn,Ro;function a_(){if(Ro)return Sn;Ro=1;function t(e){return{name:\"Dockerfile\",aliases:[\"docker\"],case_insensitive:!0,keywords:\"from maintainer expose env arg user onbuild stopsignal\",contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:\"run cmd entrypoint volume add copy workdir label healthcheck shell\",starts:{end:/[^\\\\]$/,subLanguage:\"bash\"}}],illegal:\"\",illegal:\"\\\\n\"}]},r,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},i={className:\"variable\",begin:/&[a-z\\d_]*\\b/},o={className:\"meta-keyword\",begin:\"/[a-z][a-z\\\\d-]*/\"},s={className:\"symbol\",begin:\"^\\\\s*[a-zA-Z_][a-zA-Z\\\\d_]*:\"},l={className:\"params\",begin:\"<\",end:\">\",contains:[n,i]},_={className:\"class\",begin:/[a-zA-Z_][a-zA-Z\\d_@]*\\s\\{/,end:/[{;=]/,returnBegin:!0,excludeEnd:!0};return{name:\"Device Tree\",keywords:\"\",contains:[{className:\"class\",begin:\"/\\\\s*\\\\{\",end:/\\};/,relevance:10,contains:[i,o,s,_,l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,r]},i,o,s,_,l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,r,a,{begin:e.IDENT_RE+\"::\",keywords:\"\"}]}}return Rn=t,Rn}var fn,Oo;function s_(){if(Oo)return fn;Oo=1;function t(e){return{name:\"Dust\",aliases:[\"dst\"],case_insensitive:!0,subLanguage:\"xml\",contains:[{className:\"template-tag\",begin:/\\{[#\\/]/,end:/\\}/,illegal:/;/,contains:[{className:\"name\",begin:/[a-zA-Z\\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:\"template-variable\",begin:/\\{/,end:/\\}/,illegal:/;/,keywords:\"if eq ne lt lte gt gte select default math sep\"}]}}return fn=t,fn}var Nn,Io;function l_(){if(Io)return Nn;Io=1;function t(e){const r=e.COMMENT(/\\(\\*/,/\\*\\)/),n={className:\"attribute\",begin:/^[ ]*[a-zA-Z]+([\\s_-]+[a-zA-Z]+)*/},i={begin:/=/,end:/[.;]/,contains:[r,{className:\"meta\",begin:/\\?.*\\?/},{className:\"string\",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"`\",end:\"`\"}]}]};return{name:\"Extended Backus-Naur Form\",illegal:/\\S/,contains:[r,n,i]}}return Nn=t,Nn}var Cn,Ao;function c_(){if(Ao)return Cn;Ao=1;function t(e){const r=\"[a-zA-Z_][a-zA-Z0-9_.]*(!|\\\\?)?\",n=\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?\",a={$pattern:r,keyword:\"and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote require import with|0\"},i={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:a},o={className:\"number\",begin:\"(\\\\b0o[0-7_]+)|(\\\\b0b[01_]+)|(\\\\b0x[0-9a-fA-F_]+)|(-?\\\\b[1-9][0-9_]*(\\\\.[0-9_]+([eE][-+]?[0-9]+)?)?)\",relevance:0},s=`[/|([{<\"']`,l={className:\"string\",begin:\"~[a-z](?=\"+s+\")\",contains:[{endsParent:!0,contains:[{contains:[e.BACKSLASH_ESCAPE,i],variants:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/},{begin:/\\//,end:/\\//},{begin:/\\|/,end:/\\|/},{begin:/\\(/,end:/\\)/},{begin:/\\[/,end:/\\]/},{begin:/\\{/,end:/\\}/},{begin://}]}]}]},_={className:\"string\",begin:\"~[A-Z](?=\"+s+\")\",contains:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/},{begin:/\\//,end:/\\//},{begin:/\\|/,end:/\\|/},{begin:/\\(/,end:/\\)/},{begin:/\\[/,end:/\\]/},{begin:/\\{/,end:/\\}/},{begin://}]},c={className:\"string\",contains:[e.BACKSLASH_ESCAPE,i],variants:[{begin:/\"\"\"/,end:/\"\"\"/},{begin:/'''/,end:/'''/},{begin:/~S\"\"\"/,end:/\"\"\"/,contains:[]},{begin:/~S\"/,end:/\"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/\"/,end:/\"/}]},E={className:\"function\",beginKeywords:\"def defp defmacro\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:r,endsParent:!0})]},d=e.inherit(E,{className:\"class\",beginKeywords:\"defimpl defmodule defprotocol defrecord\",end:/\\bdo\\b|$|;/}),u=[c,_,l,e.HASH_COMMENT_MODE,d,E,{begin:\"::\"},{className:\"symbol\",begin:\":(?![\\\\s:])\",contains:[c,{begin:n}],relevance:0},{className:\"symbol\",begin:r+\":(?!:)\",relevance:0},o,{className:\"variable\",begin:\"(\\\\$\\\\W)|((\\\\$|@@?)(\\\\w+))\"},{begin:\"->\"},{begin:\"(\"+e.RE_STARTERS_RE+\")\\\\s*\",contains:[e.HASH_COMMENT_MODE,{begin:/\\/: (?=\\d+\\s*[,\\]])/,relevance:0,contains:[o]},{className:\"regexp\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,i],variants:[{begin:\"/\",end:\"/[a-z]*\"},{begin:\"%r\\\\[\",end:\"\\\\][a-z]*\"}]}],relevance:0}];return i.contains=u,{name:\"Elixir\",keywords:a,contains:u}}return Cn=t,Cn}var On,vo;function __(){if(vo)return On;vo=1;function t(e){const r={variants:[e.COMMENT(\"--\",\"$\"),e.COMMENT(/\\{-/,/-\\}/,{contains:[\"self\"]})]},n={className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},a={begin:\"\\\\(\",end:\"\\\\)\",illegal:'\"',contains:[{className:\"type\",begin:\"\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?\"},r]},i={begin:/\\{/,end:/\\}/,contains:a.contains},o={className:\"string\",begin:\"'\\\\\\\\?.\",end:\"'\",illegal:\".\"};return{name:\"Elm\",keywords:\"let in if then else case of where module import exposing type alias as infix infixl infixr port effect command subscription\",contains:[{beginKeywords:\"port effect module\",end:\"exposing\",keywords:\"port effect module where command subscription exposing\",contains:[a,r],illegal:\"\\\\W\\\\.|;\"},{begin:\"import\",end:\"$\",keywords:\"import as exposing\",contains:[a,r],illegal:\"\\\\W\\\\.|;\"},{begin:\"type\",end:\"$\",keywords:\"type alias\",contains:[n,a,i,r]},{beginKeywords:\"infix infixl infixr\",end:\"$\",contains:[e.C_NUMBER_MODE,r]},{begin:\"port\",end:\"$\",keywords:\"port\",contains:[r]},o,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,n,e.inherit(e.TITLE_MODE,{begin:\"^[_a-z][\\\\w']*\"}),r,{begin:\"->|<-\"}],illegal:/;/}}return On=t,On}var In,yo;function d_(){if(yo)return In;yo=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(?=\",a,\")\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const i=\"([a-zA-Z_]\\\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?)\",o={keyword:\"and then defined module in return redo if BEGIN retry end for self when next until do begin unless END rescue else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor __FILE__\",built_in:\"proc lambda\",literal:\"true false nil\"},s={className:\"doctag\",begin:\"@[A-Za-z]+\"},l={begin:\"#<\",end:\">\"},_=[a.COMMENT(\"#\",\"$\",{contains:[s]}),a.COMMENT(\"^=begin\",\"^=end\",{contains:[s],relevance:10}),a.COMMENT(\"^__END__\",\"\\\\n$\")],c={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:o},E={className:\"string\",contains:[a.BACKSLASH_ESCAPE,c],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/`/,end:/`/},{begin:/%[qQwWx]?\\(/,end:/\\)/},{begin:/%[qQwWx]?\\[/,end:/\\]/},{begin:/%[qQwWx]?\\{/,end:/\\}/},{begin:/%[qQwWx]?/},{begin:/%[qQwWx]?\\//,end:/\\//},{begin:/%[qQwWx]?%/,end:/%/},{begin:/%[qQwWx]?-/,end:/-/},{begin:/%[qQwWx]?\\|/,end:/\\|/},{begin:/\\B\\?(\\\\\\d{1,3})/},{begin:/\\B\\?(\\\\x[A-Fa-f0-9]{1,2})/},{begin:/\\B\\?(\\\\u\\{?[A-Fa-f0-9]{1,6}\\}?)/},{begin:/\\B\\?(\\\\M-\\\\C-|\\\\M-\\\\c|\\\\c\\\\M-|\\\\M-|\\\\C-\\\\M-)[\\x20-\\x7e]/},{begin:/\\B\\?\\\\(c|C-)[\\x20-\\x7e]/},{begin:/\\B\\?\\\\?\\S/},{begin:/<<[-~]?'?(\\w+)\\n(?:[^\\n]*\\n)*?\\s*\\1\\b/,returnBegin:!0,contains:[{begin:/<<[-~]?'?/},a.END_SAME_AS_BEGIN({begin:/(\\w+)/,end:/(\\w+)/,contains:[a.BACKSLASH_ESCAPE,c]})]}]},d=\"[1-9](_?[0-9])*|0\",u=\"[0-9](_?[0-9])*\",p={className:\"number\",relevance:0,variants:[{begin:`\\\\b(${d})(\\\\.(${u}))?([eE][+-]?(${u})|r)?i?\\\\b`},{begin:\"\\\\b0[dD][0-9](_?[0-9])*r?i?\\\\b\"},{begin:\"\\\\b0[bB][0-1](_?[0-1])*r?i?\\\\b\"},{begin:\"\\\\b0[oO][0-7](_?[0-7])*r?i?\\\\b\"},{begin:\"\\\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\\\b\"},{begin:\"\\\\b0(_?[0-7])+r?i?\\\\b\"}]},T={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,keywords:o},g=[E,{className:\"class\",beginKeywords:\"class module\",end:\"$|;\",illegal:/=/,contains:[a.inherit(a.TITLE_MODE,{begin:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|!)?\"}),{begin:\"<\\\\s*\",contains:[{begin:\"(\"+a.IDENT_RE+\"::)?\"+a.IDENT_RE,relevance:0}]}].concat(_)},{className:\"function\",begin:r(/def\\s+/,e(i+\"\\\\s*(\\\\(|;|$)\")),relevance:0,keywords:\"def\",end:\"$|;\",contains:[a.inherit(a.TITLE_MODE,{begin:i}),T].concat(_)},{begin:a.IDENT_RE+\"::\"},{className:\"symbol\",begin:a.UNDERSCORE_IDENT_RE+\"(!|\\\\?)?:\",relevance:0},{className:\"symbol\",begin:\":(?!\\\\s)\",contains:[E,{begin:i}],relevance:0},p,{className:\"variable\",begin:\"(\\\\$\\\\W)|((\\\\$|@@?)(\\\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])\"},{className:\"params\",begin:/\\|/,end:/\\|/,relevance:0,keywords:o},{begin:\"(\"+a.RE_STARTERS_RE+\"|unless)\\\\s*\",keywords:\"unless\",contains:[{className:\"regexp\",contains:[a.BACKSLASH_ESCAPE,c],illegal:/\\n/,variants:[{begin:\"/\",end:\"/[a-z]*\"},{begin:/%r\\{/,end:/\\}[a-z]*/},{begin:\"%r\\\\(\",end:\"\\\\)[a-z]*\"},{begin:\"%r!\",end:\"![a-z]*\"},{begin:\"%r\\\\[\",end:\"\\\\][a-z]*\"}]}].concat(l,_),relevance:0}].concat(l,_);c.contains=g,T.contains=g;const C=[{begin:/^\\s*=>/,starts:{end:\"$\",contains:g}},{className:\"meta\",begin:\"^(\"+\"[>?]>\"+\"|\"+\"[\\\\w#]+\\\\(\\\\w+\\\\):\\\\d+:\\\\d+>\"+\"|\"+\"(\\\\w+-)?\\\\d+\\\\.\\\\d+\\\\.\\\\d+(p\\\\d+)?[^\\\\d][^>]+>\"+\")(?=[ ])\",starts:{end:\"$\",contains:g}}];return _.unshift(l),{name:\"Ruby\",aliases:[\"rb\",\"gemspec\",\"podspec\",\"thor\",\"irb\"],keywords:o,illegal:/\\/\\*/,contains:[a.SHEBANG({binary:\"ruby\"})].concat(C).concat(_).concat(g)}}return In=n,In}var An,Do;function u_(){if(Do)return An;Do=1;function t(e){return{name:\"ERB\",subLanguage:\"xml\",contains:[e.COMMENT(\"<%#\",\"%>\"),{begin:\"<%[%=-]?\",end:\"[%-]?%>\",subLanguage:\"ruby\",excludeBegin:!0,excludeEnd:!0}]}}return An=t,An}var vn,ho;function m_(){if(ho)return vn;ho=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){return{name:\"Erlang REPL\",keywords:{built_in:\"spawn spawn_link self\",keyword:\"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor\"},contains:[{className:\"meta\",begin:\"^[0-9]+> \",relevance:10},n.COMMENT(\"%\",\"$\"),{className:\"number\",begin:\"\\\\b(\\\\d+(_\\\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\\\d+(_\\\\d+)*(\\\\.\\\\d+(_\\\\d+)*)?([eE][-+]?\\\\d+)?)\",relevance:0},n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,{begin:e(/\\?(::)?/,/([A-Z]\\w*)/,/((::)[A-Z]\\w*)*/)},{begin:\"->\"},{begin:\"ok\"},{begin:\"!\"},{begin:\"(\\\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\\\b[a-z'][a-zA-Z0-9_']*)\",relevance:0},{begin:\"[A-Z][a-zA-Z0-9_']*\",relevance:0}]}}return vn=r,vn}var yn,Mo;function E_(){if(Mo)return yn;Mo=1;function t(e){const r=\"[a-z'][a-zA-Z0-9_']*\",n=\"(\"+r+\":\"+r+\"|\"+r+\")\",a={keyword:\"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor\",literal:\"false true\"},i=e.COMMENT(\"%\",\"$\"),o={className:\"number\",begin:\"\\\\b(\\\\d+(_\\\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\\\d+(_\\\\d+)*(\\\\.\\\\d+(_\\\\d+)*)?([eE][-+]?\\\\d+)?)\",relevance:0},s={begin:\"fun\\\\s+\"+r+\"/\\\\d+\"},l={begin:n+\"\\\\(\",end:\"\\\\)\",returnBegin:!0,relevance:0,contains:[{begin:n,relevance:0},{begin:\"\\\\(\",end:\"\\\\)\",endsWithParent:!0,returnEnd:!0,relevance:0}]},_={begin:/\\{/,end:/\\}/,relevance:0},c={begin:\"\\\\b_([A-Z][A-Za-z0-9_]*)?\",relevance:0},E={begin:\"[A-Z][a-zA-Z0-9_]*\",relevance:0},d={begin:\"#\"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:\"#\"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:/\\{/,end:/\\}/,relevance:0}]},u={beginKeywords:\"fun receive if try case\",end:\"end\",keywords:a};u.contains=[i,s,e.inherit(e.APOS_STRING_MODE,{className:\"\"}),u,l,e.QUOTE_STRING_MODE,o,_,c,E,d];const p=[i,s,u,l,e.QUOTE_STRING_MODE,o,_,c,E,d];l.contains[1].contains=p,_.contains=p,d.contains[1].contains=p;const T=[\"-module\",\"-record\",\"-undef\",\"-export\",\"-ifdef\",\"-ifndef\",\"-author\",\"-copyright\",\"-doc\",\"-vsn\",\"-import\",\"-include\",\"-include_lib\",\"-compile\",\"-define\",\"-else\",\"-endif\",\"-file\",\"-behaviour\",\"-behavior\",\"-spec\"],g={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:p};return{name:\"Erlang\",aliases:[\"erl\"],keywords:a,illegal:\"(\",returnBegin:!0,illegal:\"\\\\(|#|//|/\\\\*|\\\\\\\\|:|;\",contains:[g,e.inherit(e.TITLE_MODE,{begin:r})],starts:{end:\";|\\\\.\",keywords:a,contains:p}},i,{begin:\"^-\",end:\"\\\\.\",relevance:0,excludeEnd:!0,returnBegin:!0,keywords:{$pattern:\"-\"+e.IDENT_RE,keyword:T.map(S=>`${S}|1.5`).join(\" \")},contains:[g]},o,e.QUOTE_STRING_MODE,d,c,E,_,{begin:/\\.$/}]}}return yn=t,yn}var Dn,Lo;function p_(){if(Lo)return Dn;Lo=1;function t(e){return{name:\"Excel formulae\",aliases:[\"xlsx\",\"xls\"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\\w\\.]*/,built_in:\"ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST\"},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:\"symbol\",begin:/\\b[A-Z]{1,2}\\d+\\b/,end:/[^\\d]/,excludeEnd:!0,relevance:0},{className:\"symbol\",begin:/[A-Z]{0,2}\\d*:[A-Z]{0,2}\\d*/,relevance:0},e.BACKSLASH_ESCAPE,e.QUOTE_STRING_MODE,{className:\"number\",begin:e.NUMBER_RE+\"(%)?\",relevance:0},e.COMMENT(/\\bN\\(/,/\\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\\n/})]}}return Dn=t,Dn}var hn,xo;function g_(){if(xo)return hn;xo=1;function t(e){return{name:\"FIX\",contains:[{begin:/[^\\u2401\\u0001]+/,end:/[\\u2401\\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\\u2401\\u0001=]+)/,end:/=([^\\u2401\\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:\"attr\"},{begin:/=/,end:/([\\u2401\\u0001])/,excludeEnd:!0,excludeBegin:!0,className:\"string\"}]}],case_insensitive:!0}}return hn=t,hn}var Mn,Po;function S_(){if(Po)return Mn;Po=1;function t(e){const r={className:\"string\",begin:/'(.|\\\\[xXuU][a-zA-Z0-9]+)'/},n={className:\"string\",variants:[{begin:'\"',end:'\"'}]},i={className:\"function\",beginKeywords:\"def\",end:/[:={\\[(\\n;]/,excludeEnd:!0,contains:[{className:\"title\",relevance:0,begin:/[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/}]};return{name:\"Flix\",keywords:{literal:\"true false\",keyword:\"case class def else enum if impl import in lat rel index let match namespace switch type yield with\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,n,i,e.C_NUMBER_MODE]}}return Mn=t,Mn}var Ln,wo;function T_(){if(wo)return Ln;wo=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"},i={variants:[n.COMMENT(\"!\",\"$\",{relevance:0}),n.COMMENT(\"^C[ ]\",\"$\",{relevance:0}),n.COMMENT(\"^C$\",\"$\",{relevance:0})]},o=/(_[a-z_\\d]+)?/,s=/([de][+-]?\\d+)?/,l={className:\"number\",variants:[{begin:e(/\\b\\d+/,/\\.(\\d*)/,s,o)},{begin:e(/\\b\\d+/,s,o)},{begin:e(/\\.\\d+/,s,o)}],relevance:0},_={className:\"function\",beginKeywords:\"subroutine function program\",illegal:\"[${=\\\\n]\",contains:[n.UNDERSCORE_TITLE_MODE,a]},c={className:\"string\",relevance:0,variants:[n.APOS_STRING_MODE,n.QUOTE_STRING_MODE]};return{name:\"Fortran\",case_insensitive:!0,aliases:[\"f90\",\"f95\"],keywords:{literal:\".False. .True.\",keyword:\"kind do concurrent local shared while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then block endblock endassociate public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure impure integer real character complex logical codimension dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data\",built_in:\"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image sync change team co_broadcast co_max co_min co_sum co_reduce\"},illegal:/\\/\\*/,contains:[c,_,{begin:/^C\\s*=(?!=)/,relevance:0},i,l]}}return Ln=r,Ln}var xn,ko;function b_(){if(ko)return xn;ko=1;function t(e){const r={begin:\"<\",end:\">\",contains:[e.inherit(e.TITLE_MODE,{begin:/'[a-zA-Z0-9_]+/})]};return{name:\"F#\",aliases:[\"fs\"],keywords:\"abstract and as assert base begin class default delegate do done downcast downto elif else end exception extern false finally for fun function global if in inherit inline interface internal lazy let match member module mutable namespace new null of open or override private public rec return sig static struct then to true try type upcast use val void when while with yield\",illegal:/\\/\\*/,contains:[{className:\"keyword\",begin:/\\b(yield|return|let|do)!/},{className:\"string\",begin:'@\"',end:'\"',contains:[{begin:'\"\"'}]},{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},e.COMMENT(\"\\\\(\\\\*(\\\\s)\",\"\\\\*\\\\)\",{contains:[\"self\"]}),{className:\"class\",beginKeywords:\"type\",end:\"\\\\(|=|$\",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE,r]},{className:\"meta\",begin:\"\\\\[<\",end:\">\\\\]\",relevance:10},{className:\"symbol\",begin:\"\\\\B('[A-Za-z])\\\\b\",contains:[e.BACKSLASH_ESCAPE]},e.C_LINE_COMMENT_MODE,e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),e.C_NUMBER_MODE]}}return xn=t,xn}var Pn,Uo;function R_(){if(Uo)return Pn;Uo=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(\",a,\")*\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const i={keyword:\"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes\",literal:\"eps inf na\",built_in:\"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart\"},o={className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0},s={className:\"symbol\",variants:[{begin:/=[lgenxc]=/},{begin:/\\$/}]},l={className:\"comment\",variants:[{begin:\"'\",end:\"'\"},{begin:'\"',end:'\"'}],illegal:\"\\\\n\",contains:[a.BACKSLASH_ESCAPE]},_={begin:\"/\",end:\"/\",keywords:i,contains:[l,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,a.C_NUMBER_MODE]},c=/[a-z0-9&#*=?@\\\\><:,()$[\\]_.{}!+%^-]+/,E={begin:/[a-z][a-z0-9_]*(\\([a-z0-9_, ]*\\))?[ \\t]+/,excludeBegin:!0,end:\"$\",endsWithParent:!0,contains:[l,_,{className:\"comment\",begin:r(c,e(r(/[ ]+/,c))),relevance:0}]};return{name:\"GAMS\",aliases:[\"gms\"],case_insensitive:!0,keywords:i,contains:[a.COMMENT(/^\\$ontext/,/^\\$offtext/),{className:\"meta\",begin:\"^\\\\$[a-z0-9]+\",end:\"$\",returnBegin:!0,contains:[{className:\"meta-keyword\",begin:\"^\\\\$[a-z0-9]+\"}]},a.COMMENT(\"^\\\\*\",\"$\"),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,{beginKeywords:\"set sets parameter parameters variable variables scalar scalars equation equations\",end:\";\",contains:[a.COMMENT(\"^\\\\*\",\"$\"),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,_,E]},{beginKeywords:\"table\",end:\";\",returnBegin:!0,contains:[{beginKeywords:\"table\",end:\"$\",contains:[E]},a.COMMENT(\"^\\\\*\",\"$\"),a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,a.C_NUMBER_MODE]},{className:\"function\",begin:/^[a-z][a-z0-9_,\\-+' ()$]+\\.{2}/,returnBegin:!0,contains:[{className:\"title\",begin:/^[a-z0-9_]+/},o,s]},a.C_NUMBER_MODE,s]}}return Pn=n,Pn}var wn,Fo;function f_(){if(Fo)return wn;Fo=1;function t(e){const r={keyword:\"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv\",built_in:\"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim\",literal:\"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR\"},n=e.COMMENT(\"@\",\"@\"),a={className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline\"},contains:[{begin:/\\\\\\n/,relevance:0},{beginKeywords:\"include\",end:\"$\",keywords:{\"meta-keyword\":\"include\"},contains:[{className:\"meta-string\",begin:'\"',end:'\"',illegal:\"\\\\n\"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n]},i={begin:/\\bstruct\\s+/,end:/\\s/,keywords:\"struct\",contains:[{className:\"type\",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},o=[{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:\"literal\",begin:/\\.\\.\\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,n,i]}],s={className:\"title\",begin:e.UNDERSCORE_IDENT_RE,relevance:0},l=function(u,p,T){const g=e.inherit({className:\"function\",beginKeywords:u,end:p,excludeEnd:!0,contains:[].concat(o)},{});return g.contains.push(s),g.contains.push(e.C_NUMBER_MODE),g.contains.push(e.C_BLOCK_COMMENT_MODE),g.contains.push(n),g},_={className:\"built_in\",begin:\"\\\\b(\"+r.built_in.split(\" \").join(\"|\")+\")\\\\b\"},c={className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE],relevance:0},E={begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,keywords:r,relevance:0,contains:[{beginKeywords:r.keyword},_,{className:\"built_in\",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},d={begin:/\\(/,end:/\\)/,relevance:0,keywords:{built_in:r.built_in,literal:r.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,n,_,E,c,\"self\"]};return E.contains.push(d),{name:\"GAUSS\",aliases:[\"gss\"],case_insensitive:!0,keywords:r,illegal:/(\\{[%#]|[%#]\\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,c,a,{className:\"keyword\",begin:/\\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},l(\"proc keyword\",\";\"),l(\"fn\",\"=\"),{beginKeywords:\"for threadfor\",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,n,d]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\.\"+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*=\"}],relevance:0},E,i]}}return wn=t,wn}var kn,Bo;function N_(){if(Bo)return kn;Bo=1;function t(e){const a={$pattern:\"[A-Z_][A-Z0-9_.]*\",keyword:\"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR\"},i={className:\"meta\",begin:\"([O])([0-9]+)\"},o=e.inherit(e.C_NUMBER_MODE,{begin:\"([-+]?((\\\\.\\\\d+)|(\\\\d+)(\\\\.\\\\d*)?))|\"+e.C_NUMBER_RE}),s=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\\(/,/\\)/),o,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"name\",begin:\"([G])([0-9]+\\\\.?[0-9]?)\"},{className:\"name\",begin:\"([M])([0-9]+\\\\.?[0-9]?)\"},{className:\"attr\",begin:\"(VC|VS|#)\",end:\"(\\\\d+)\"},{className:\"attr\",begin:\"(VZOFX|VZOFY|VZOFZ)\"},{className:\"built_in\",begin:\"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\\\[)\",contains:[o],end:\"\\\\]\"},{className:\"symbol\",variants:[{begin:\"N\",end:\"\\\\d+\",illegal:\"\\\\W\"}]}];return{name:\"G-code (ISO 6983)\",aliases:[\"nc\"],case_insensitive:!0,keywords:a,contains:[{className:\"meta\",begin:\"%\"},i].concat(s)}}return kn=t,kn}var Un,Go;function C_(){if(Go)return Un;Go=1;function t(e){return{name:\"Gherkin\",aliases:[\"feature\"],keywords:\"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When\",contains:[{className:\"symbol\",begin:\"\\\\*\",relevance:0},{className:\"meta\",begin:\"@[^@\\\\s]+\"},{begin:\"\\\\|\",end:\"\\\\|\\\\w*$\",contains:[{className:\"string\",begin:\"[^|]+\"}]},{className:\"variable\",begin:\"<\",end:\">\"},e.HASH_COMMENT_MODE,{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},e.QUOTE_STRING_MODE]}}return Un=t,Un}var Fn,Yo;function O_(){if(Yo)return Fn;Yo=1;function t(e){return{name:\"GLSL\",keywords:{keyword:\"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly\",type:\"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void\",built_in:\"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow\",literal:\"true false\"},illegal:'\"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\"}]}}return Fn=t,Fn}var Bn,qo;function I_(){if(qo)return Bn;qo=1;function t(e){return{name:\"GML\",case_insensitive:!1,keywords:{keyword:\"begin end if then else while do for break continue with until repeat exit and or xor not return mod div switch case default var globalvar enum function constructor delete #macro #region #endregion\",built_in:\"is_real is_string is_array is_undefined is_int32 is_int64 is_ptr is_vec3 is_vec4 is_matrix is_bool is_method is_struct is_infinity is_nan is_numeric typeof variable_global_exists variable_global_get variable_global_set variable_instance_exists variable_instance_get variable_instance_set variable_instance_get_names variable_struct_exists variable_struct_get variable_struct_get_names variable_struct_names_count variable_struct_remove variable_struct_set array_delete array_insert array_length array_length_1d array_length_2d array_height_2d array_equals array_create array_copy array_pop array_push array_resize array_sort random random_range irandom irandom_range random_set_seed random_get_seed randomize randomise choose abs round floor ceil sign frac sqrt sqr exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn min max mean median clamp lerp dot_product dot_product_3d dot_product_normalised dot_product_3d_normalised dot_product_normalized dot_product_3d_normalized math_set_epsilon math_get_epsilon angle_difference point_distance_3d point_distance point_direction lengthdir_x lengthdir_y real string int64 ptr string_format chr ansi_char ord string_length string_byte_length string_pos string_copy string_char_at string_ord_at string_byte_at string_set_byte_at string_delete string_insert string_lower string_upper string_repeat string_letters string_digits string_lettersdigits string_replace string_replace_all string_count string_hash_to_newline clipboard_has_text clipboard_set_text clipboard_get_text date_current_datetime date_create_datetime date_valid_datetime date_inc_year date_inc_month date_inc_week date_inc_day date_inc_hour date_inc_minute date_inc_second date_get_year date_get_month date_get_week date_get_day date_get_hour date_get_minute date_get_second date_get_weekday date_get_day_of_year date_get_hour_of_year date_get_minute_of_year date_get_second_of_year date_year_span date_month_span date_week_span date_day_span date_hour_span date_minute_span date_second_span date_compare_datetime date_compare_date date_compare_time date_date_of date_time_of date_datetime_string date_date_string date_time_string date_days_in_month date_days_in_year date_leap_year date_is_today date_set_timezone date_get_timezone game_set_speed game_get_speed motion_set motion_add place_free place_empty place_meeting place_snapped move_random move_snap move_towards_point move_contact_solid move_contact_all move_outside_solid move_outside_all move_bounce_solid move_bounce_all move_wrap distance_to_point distance_to_object position_empty position_meeting path_start path_end mp_linear_step mp_potential_step mp_linear_step_object mp_potential_step_object mp_potential_settings mp_linear_path mp_potential_path mp_linear_path_object mp_potential_path_object mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell mp_grid_add_rectangle mp_grid_add_instances mp_grid_path mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle collision_circle collision_ellipse collision_line collision_point_list collision_rectangle_list collision_circle_list collision_ellipse_list collision_line_list instance_position_list instance_place_list point_in_rectangle point_in_triangle point_in_circle rectangle_in_rectangle rectangle_in_triangle rectangle_in_circle instance_find instance_exists instance_number instance_position instance_nearest instance_furthest instance_place instance_create_depth instance_create_layer instance_copy instance_change instance_destroy position_destroy position_change instance_id_get instance_deactivate_all instance_deactivate_object instance_deactivate_region instance_activate_all instance_activate_object instance_activate_region room_goto room_goto_previous room_goto_next room_previous room_next room_restart game_end game_restart game_load game_save game_save_buffer game_load_buffer event_perform event_user event_perform_object event_inherited show_debug_message show_debug_overlay debug_event debug_get_callstack alarm_get alarm_set font_texture_page_size keyboard_set_map keyboard_get_map keyboard_unset_map keyboard_check keyboard_check_pressed keyboard_check_released keyboard_check_direct keyboard_get_numlock keyboard_set_numlock keyboard_key_press keyboard_key_release keyboard_clear io_clear mouse_check_button mouse_check_button_pressed mouse_check_button_released mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite draw_sprite_pos draw_sprite_ext draw_sprite_stretched draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle draw_roundrect draw_roundrect_ext draw_triangle draw_circle draw_ellipse draw_set_circle_precision draw_arrow draw_button draw_path draw_healthbar draw_getpixel draw_getpixel_ext draw_set_colour draw_set_color draw_set_alpha draw_get_colour draw_get_color draw_get_alpha merge_colour make_colour_rgb make_colour_hsv colour_get_red colour_get_green colour_get_blue colour_get_hue colour_get_saturation colour_get_value merge_color make_color_rgb make_color_hsv color_get_red color_get_green color_get_blue color_get_hue color_get_saturation color_get_value merge_color screen_save screen_save_part draw_set_font draw_set_halign draw_set_valign draw_text draw_text_ext string_width string_height string_width_ext string_height_ext draw_text_transformed draw_text_ext_transformed draw_text_colour draw_text_ext_colour draw_text_transformed_colour draw_text_ext_transformed_colour draw_text_color draw_text_ext_color draw_text_transformed_color draw_text_ext_transformed_color draw_point_colour draw_line_colour draw_line_width_colour draw_rectangle_colour draw_roundrect_colour draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour draw_ellipse_colour draw_point_color draw_line_color draw_line_width_color draw_rectangle_color draw_roundrect_color draw_roundrect_color_ext draw_triangle_color draw_circle_color draw_ellipse_color draw_primitive_begin draw_vertex draw_vertex_colour draw_vertex_color draw_primitive_end sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture texture_get_width texture_get_height texture_get_uvs draw_primitive_begin_texture draw_vertex_texture draw_vertex_texture_colour draw_vertex_texture_color texture_global_scale surface_create surface_create_ext surface_resize surface_free surface_exists surface_get_width surface_get_height surface_get_texture surface_set_target surface_set_target_ext surface_reset_target surface_depth_disable surface_get_depth_disable draw_surface draw_surface_stretched draw_surface_tiled draw_surface_part draw_surface_ext draw_surface_stretched_ext draw_surface_tiled_ext draw_surface_part_ext draw_surface_general surface_getpixel surface_getpixel_ext surface_save surface_save_part surface_copy surface_copy_part application_surface_draw_enable application_get_position application_surface_enable application_surface_is_enabled display_get_width display_get_height display_get_orientation display_get_gui_width display_get_gui_height display_reset display_mouse_get_x display_mouse_get_y display_mouse_set display_set_ui_visibility window_set_fullscreen window_get_fullscreen window_set_caption window_set_min_width window_set_max_width window_set_min_height window_set_max_height window_get_visible_rects window_get_caption window_set_cursor window_get_cursor window_set_colour window_get_colour window_set_color window_get_color window_set_position window_set_size window_set_rectangle window_center window_get_x window_get_y window_get_width window_get_height window_mouse_get_x window_mouse_get_y window_mouse_set window_view_mouse_get_x window_view_mouse_get_y window_views_mouse_get_x window_views_mouse_get_y audio_listener_position audio_listener_velocity audio_listener_orientation audio_emitter_position audio_emitter_create audio_emitter_free audio_emitter_exists audio_emitter_pitch audio_emitter_velocity audio_emitter_falloff audio_emitter_gain audio_play_sound audio_play_sound_on audio_play_sound_at audio_stop_sound audio_resume_music audio_music_is_playing audio_resume_sound audio_pause_sound audio_pause_music audio_channel_num audio_sound_length audio_get_type audio_falloff_set_model audio_play_music audio_stop_music audio_master_gain audio_music_gain audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all audio_pause_all audio_is_playing audio_is_paused audio_exists audio_sound_set_track_position audio_sound_get_track_position audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx audio_emitter_get_vy audio_emitter_get_vz audio_listener_set_position audio_listener_set_velocity audio_listener_set_orientation audio_listener_get_data audio_set_master_gain audio_get_master_gain audio_sound_get_gain audio_sound_get_pitch audio_get_name audio_sound_set_track_position audio_sound_get_track_position audio_create_stream audio_destroy_stream audio_create_sync_group audio_destroy_sync_group audio_play_in_sync_group audio_start_sync_group audio_stop_sync_group audio_pause_sync_group audio_resume_sync_group audio_sync_group_get_track_pos audio_sync_group_debug audio_sync_group_is_playing audio_debug audio_group_load audio_group_unload audio_group_is_loaded audio_group_load_progress audio_group_name audio_group_stop_all audio_group_set_gain audio_create_buffer_sound audio_free_buffer_sound audio_create_play_queue audio_free_play_queue audio_queue_sound audio_get_recorder_count audio_get_recorder_info audio_start_recording audio_stop_recording audio_sound_get_listener_mask audio_emitter_get_listener_mask audio_get_listener_mask audio_sound_set_listener_mask audio_emitter_set_listener_mask audio_set_listener_mask audio_get_listener_count audio_get_listener_info audio_system show_message show_message_async clickable_add clickable_add_ext clickable_change clickable_change_ext clickable_delete clickable_exists clickable_set_style show_question show_question_async get_integer get_string get_integer_async get_string_async get_login_async get_open_filename get_save_filename get_open_filename_ext get_save_filename_ext show_error highscore_clear highscore_add highscore_value highscore_name draw_highscore sprite_exists sprite_get_name sprite_get_number sprite_get_width sprite_get_height sprite_get_xoffset sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right sprite_get_bbox_top sprite_get_bbox_bottom sprite_save sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush sprite_flush_multi sprite_set_speed sprite_get_speed_type sprite_get_speed font_exists font_get_name font_get_fontname font_get_bold font_get_italic font_get_first font_get_last font_get_size font_set_cache_size path_exists path_get_name path_get_length path_get_time path_get_kind path_get_closed path_get_precision path_get_number path_get_point_x path_get_point_y path_get_point_speed path_get_x path_get_y path_get_speed script_exists script_get_name timeline_add timeline_delete timeline_clear timeline_exists timeline_get_name timeline_moment_clear timeline_moment_add_script timeline_size timeline_max_moment object_exists object_get_name object_get_sprite object_get_solid object_get_visible object_get_persistent object_get_mask object_get_parent object_get_physics object_is_ancestor room_exists room_get_name sprite_set_offset sprite_duplicate sprite_assign sprite_merge sprite_add sprite_replace sprite_create_from_surface sprite_add_from_surface sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite font_add_sprite_ext font_replace font_replace_sprite font_replace_sprite_ext font_delete path_set_kind path_set_closed path_set_precision path_add path_assign path_duplicate path_append path_delete path_add_point path_insert_point path_change_point path_delete_point path_clear_points path_reverse path_mirror path_flip path_rotate path_rescale path_shift script_execute object_set_sprite object_set_solid object_set_visible object_set_persistent object_set_mask room_set_width room_set_height room_set_persistent room_set_background_colour room_set_background_color room_set_view room_set_viewport room_get_viewport room_set_view_enabled room_add room_duplicate room_assign room_instance_add room_instance_clear room_get_camera room_set_camera asset_get_index asset_get_type file_text_open_from_string file_text_open_read file_text_open_write file_text_open_append file_text_close file_text_write_string file_text_write_real file_text_writeln file_text_read_string file_text_read_real file_text_readln file_text_eof file_text_eoln file_exists file_delete file_rename file_copy directory_exists directory_create directory_destroy file_find_first file_find_next file_find_close file_attributes filename_name filename_path filename_dir filename_drive filename_ext filename_change_ext file_bin_open file_bin_rewrite file_bin_close file_bin_position file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte parameter_count parameter_string environment_get_variable ini_open_from_string ini_open ini_close ini_read_string ini_read_real ini_write_string ini_write_real ini_key_exists ini_section_exists ini_key_delete ini_section_delete ds_set_precision ds_exists ds_stack_create ds_stack_destroy ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ds_list_create ds_list_destroy ds_list_clear ds_list_copy ds_list_size ds_list_empty ds_list_add ds_list_insert ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ds_map_find_value ds_map_find_previous ds_map_find_next ds_map_find_first ds_map_find_last ds_map_write ds_map_read ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ds_map_secure_save_buffer ds_map_set ds_priority_create ds_priority_destroy ds_priority_clear ds_priority_copy ds_priority_size ds_priority_empty ds_priority_add ds_priority_change_priority ds_priority_find_priority ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ds_priority_delete_max ds_priority_find_max ds_priority_write ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ds_grid_sort ds_grid_set ds_grid_get effect_create_below effect_create_above effect_clear part_type_create part_type_destroy part_type_exists part_type_clear part_type_shape part_type_sprite part_type_size part_type_scale part_type_orientation part_type_life part_type_step part_type_death part_type_speed part_type_direction part_type_gravity part_type_colour1 part_type_colour2 part_type_colour3 part_type_colour_mix part_type_colour_rgb part_type_colour_hsv part_type_color1 part_type_color2 part_type_color3 part_type_color_mix part_type_color_rgb part_type_color_hsv part_type_alpha1 part_type_alpha2 part_type_alpha3 part_type_blend part_system_create part_system_create_layer part_system_destroy part_system_exists part_system_clear part_system_draw_order part_system_depth part_system_position part_system_automatic_update part_system_automatic_draw part_system_update part_system_drawit part_system_get_layer part_system_layer part_particles_create part_particles_create_colour part_particles_create_color part_particles_clear part_particles_count part_emitter_create part_emitter_destroy part_emitter_destroy_all part_emitter_exists part_emitter_clear part_emitter_region part_emitter_burst part_emitter_stream external_call external_define external_free window_handle window_device matrix_get matrix_set matrix_build_identity matrix_build matrix_build_lookat matrix_build_projection_ortho matrix_build_projection_perspective matrix_build_projection_perspective_fov matrix_multiply matrix_transform_vertex matrix_stack_push matrix_stack_pop matrix_stack_multiply matrix_stack_set matrix_stack_clear matrix_stack_top matrix_stack_is_empty browser_input_capture os_get_config os_get_info os_get_language os_get_region os_lock_orientation display_get_dpi_x display_get_dpi_y display_set_gui_size display_set_gui_maximise display_set_gui_maximize device_mouse_dbclick_enable display_set_timing_method display_get_timing_method display_set_sleep_margin display_get_sleep_margin virtual_key_add virtual_key_hide virtual_key_delete virtual_key_show draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level draw_get_swf_aa_level draw_texture_flush draw_flush gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable gpu_set_colourwriteenable gpu_set_alphatestenable gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat gpu_set_tex_repeat_ext gpu_set_tex_mip_filter gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src gpu_get_blendmode_dest gpu_get_blendmode_srcalpha gpu_get_blendmode_destalpha gpu_get_colorwriteenable gpu_get_colourwriteenable gpu_get_alphatestenable gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat gpu_get_tex_repeat_ext gpu_get_tex_mip_filter gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state gpu_get_state gpu_set_state draw_light_define_ambient draw_light_define_direction draw_light_define_point draw_light_enable draw_set_lighting draw_light_get_ambient draw_light_get draw_get_lighting shop_leave_rating url_get_domain url_open url_open_ext url_open_full get_timer achievement_login achievement_logout achievement_post achievement_increment achievement_post_score achievement_available achievement_show_achievements achievement_show_leaderboards achievement_load_friends achievement_load_leaderboard achievement_send_challenge achievement_load_progress achievement_reset achievement_login_status achievement_get_pic achievement_show_challenge_notifications achievement_get_challenges achievement_event achievement_show achievement_get_info cloud_file_save cloud_string_save cloud_synchronise ads_enable ads_disable ads_setup ads_engagement_launch ads_engagement_available ads_engagement_active ads_event ads_event_preload ads_set_reward_callback ads_get_display_height ads_get_display_width ads_move ads_interstitial_available ads_interstitial_display device_get_tilt_x device_get_tilt_y device_get_tilt_z device_is_keypad_open device_mouse_check_button device_mouse_check_button_pressed device_mouse_check_button_released device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status iap_enumerate_products iap_restore_all iap_acquire iap_consume iap_product_details iap_purchase_details facebook_init facebook_login facebook_status facebook_graph_request facebook_dialog facebook_logout facebook_launch_offerwall facebook_post_message facebook_send_invite facebook_user_id facebook_accesstoken facebook_check_permission facebook_request_read_permissions facebook_request_publish_permissions gamepad_is_supported gamepad_get_device_count gamepad_is_connected gamepad_get_description gamepad_get_button_threshold gamepad_set_button_threshold gamepad_get_axis_deadzone gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check gamepad_button_check_pressed gamepad_button_check_released gamepad_button_value gamepad_axis_count gamepad_axis_value gamepad_set_vibration gamepad_set_colour gamepad_set_color os_is_paused window_has_focus code_is_compiled http_get http_get_file http_post_string http_request json_encode json_decode zip_unzip load_csv base64_encode base64_decode md5_string_unicode md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode sha1_string_utf8 sha1_file os_powersave_enable analytics_event analytics_event_ext win8_livetile_tile_notification win8_livetile_tile_clear win8_livetile_badge_notification win8_livetile_badge_clear win8_livetile_queue_enable win8_secondarytile_pin win8_secondarytile_badge_notification win8_secondarytile_delete win8_livetile_notification_begin win8_livetile_notification_secondary_begin win8_livetile_notification_expiry win8_livetile_notification_tag win8_livetile_notification_text_add win8_livetile_notification_image_add win8_livetile_notification_end win8_appbar_enable win8_appbar_add_element win8_appbar_remove_element win8_settingscharm_add_entry win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry win8_settingscharm_set_xaml_property win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry win8_share_image win8_share_screenshot win8_share_file win8_share_url win8_share_text win8_search_enable win8_search_disable win8_search_add_suggestions win8_device_touchscreen_available win8_license_initialize_sandbox win8_license_trial_version winphone_license_trial_version winphone_tile_title winphone_tile_count winphone_tile_back_title winphone_tile_back_content winphone_tile_back_content_wide winphone_tile_front_image winphone_tile_front_image_small winphone_tile_front_image_wide winphone_tile_back_image winphone_tile_back_image_wide winphone_tile_background_colour winphone_tile_background_color winphone_tile_icon_image winphone_tile_small_icon_image winphone_tile_wide_content winphone_tile_cycle_images winphone_tile_small_background_image physics_world_create physics_world_gravity physics_world_update_speed physics_world_update_iterations physics_world_draw_debug physics_pause_enable physics_fixture_create physics_fixture_set_kinematic physics_fixture_set_density physics_fixture_set_awake physics_fixture_set_restitution physics_fixture_set_friction physics_fixture_set_collision_group physics_fixture_set_sensor physics_fixture_set_linear_damping physics_fixture_set_angular_damping physics_fixture_set_circle_shape physics_fixture_set_box_shape physics_fixture_set_edge_shape physics_fixture_set_polygon_shape physics_fixture_set_chain_shape physics_fixture_add_point physics_fixture_bind physics_fixture_bind_ext physics_fixture_delete physics_apply_force physics_apply_impulse physics_apply_angular_impulse physics_apply_local_force physics_apply_local_impulse physics_apply_torque physics_mass_properties physics_draw_debug physics_test_overlap physics_remove_fixture physics_set_friction physics_set_density physics_set_restitution physics_get_friction physics_get_density physics_get_restitution physics_joint_distance_create physics_joint_rope_create physics_joint_revolute_create physics_joint_prismatic_create physics_joint_pulley_create physics_joint_wheel_create physics_joint_weld_create physics_joint_friction_create physics_joint_gear_create physics_joint_enable_motor physics_joint_get_value physics_joint_set_value physics_joint_delete physics_particle_create physics_particle_delete physics_particle_delete_region_circle physics_particle_delete_region_box physics_particle_delete_region_poly physics_particle_set_flags physics_particle_set_category_flags physics_particle_draw physics_particle_draw_ext physics_particle_count physics_particle_get_data physics_particle_get_data_particle physics_particle_group_begin physics_particle_group_circle physics_particle_group_box physics_particle_group_polygon physics_particle_group_add_point physics_particle_group_end physics_particle_group_join physics_particle_group_delete physics_particle_group_count physics_particle_group_get_data physics_particle_group_get_mass physics_particle_group_get_inertia physics_particle_group_get_centre_x physics_particle_group_get_centre_y physics_particle_group_get_vel_x physics_particle_group_get_vel_y physics_particle_group_get_ang_vel physics_particle_group_get_x physics_particle_group_get_y physics_particle_group_get_angle physics_particle_set_group_flags physics_particle_get_group_flags physics_particle_get_max_count physics_particle_get_radius physics_particle_get_density physics_particle_get_damping physics_particle_get_gravity_scale physics_particle_set_max_count physics_particle_set_radius physics_particle_set_density physics_particle_set_damping physics_particle_set_gravity_scale network_create_socket network_create_socket_ext network_create_server network_create_server_raw network_connect network_connect_raw network_send_packet network_send_raw network_send_broadcast network_send_udp network_send_udp_raw network_set_timeout network_set_config network_resolve network_destroy buffer_create buffer_write buffer_read buffer_seek buffer_get_surface buffer_set_surface buffer_delete buffer_exists buffer_get_type buffer_get_alignment buffer_poke buffer_peek buffer_save buffer_save_ext buffer_load buffer_load_ext buffer_load_partial buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode buffer_base64_decode_ext buffer_sizeof buffer_get_address buffer_create_from_vertex_buffer buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer buffer_async_group_begin buffer_async_group_option buffer_async_group_end buffer_load_async buffer_save_async gml_release_mode gml_pragma steam_activate_overlay steam_is_overlay_enabled steam_is_overlay_activated steam_get_persona_name steam_initialised steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account steam_file_persisted steam_get_quota_total steam_get_quota_free steam_file_write steam_file_write_file steam_file_read steam_file_delete steam_file_exists steam_file_size steam_file_share steam_is_screenshot_requested steam_send_screenshot steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc steam_user_installed_dlc steam_set_achievement steam_get_achievement steam_clear_achievement steam_set_stat_int steam_set_stat_float steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float steam_get_stat_avg_rate steam_reset_all_stats steam_reset_all_stats_achievements steam_stats_ready steam_create_leaderboard steam_upload_score steam_upload_score_ext steam_download_scores_around_user steam_download_scores steam_download_friends_scores steam_upload_score_buffer steam_upload_score_buffer_ext steam_current_game_language steam_available_languages steam_activate_overlay_browser steam_activate_overlay_user steam_activate_overlay_store steam_get_user_persona_name steam_get_app_id steam_get_user_account_id steam_ugc_download steam_ugc_create_item steam_ugc_start_item_update steam_ugc_set_item_title steam_ugc_set_item_description steam_ugc_set_item_visibility steam_ugc_set_item_tags steam_ugc_set_item_content steam_ugc_set_item_preview steam_ugc_submit_item_update steam_ugc_get_item_update_progress steam_ugc_subscribe_item steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items steam_ugc_get_subscribed_items steam_ugc_get_item_install_info steam_ugc_get_item_update_info steam_ugc_request_item_details steam_ugc_create_query_user steam_ugc_create_query_user_ex steam_ugc_create_query_all steam_ugc_create_query_all_ex steam_ugc_query_set_cloud_filename_filter steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text steam_ugc_query_set_ranked_by_trend_days steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag steam_ugc_query_set_return_long_description steam_ugc_query_set_return_total_only steam_ugc_query_set_allow_cached_response steam_ugc_send_query shader_set shader_get_name shader_reset shader_current shader_is_compiled shader_get_sampler_index shader_get_uniform shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f shader_set_uniform_f_array shader_set_uniform_matrix shader_set_uniform_matrix_array shader_enable_corner_id texture_set_stage texture_get_texel_width texture_get_texel_height shaders_are_supported vertex_format_begin vertex_format_end vertex_format_delete vertex_format_add_position vertex_format_add_position_3d vertex_format_add_colour vertex_format_add_color vertex_format_add_normal vertex_format_add_texcoord vertex_format_add_textcoord vertex_format_add_custom vertex_create_buffer vertex_create_buffer_ext vertex_delete_buffer vertex_begin vertex_end vertex_position vertex_position_3d vertex_colour vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size vertex_create_buffer_from_buffer vertex_create_buffer_from_buffer_ext push_local_notification push_get_first_local_notification push_get_next_local_notification push_cancel_local_notification skeleton_animation_set skeleton_animation_get skeleton_animation_mix skeleton_animation_set_ext skeleton_animation_get_ext skeleton_animation_get_duration skeleton_animation_get_frames skeleton_animation_clear skeleton_skin_set skeleton_skin_get skeleton_attachment_set skeleton_attachment_get skeleton_attachment_create skeleton_collision_draw_set skeleton_bone_data_get skeleton_bone_data_set skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax skeleton_get_num_bounds skeleton_get_bounds skeleton_animation_get_frame skeleton_animation_set_frame draw_skeleton draw_skeleton_time draw_skeleton_instance draw_skeleton_collision skeleton_animation_list skeleton_skin_list skeleton_slot_data layer_get_id layer_get_id_at_depth layer_get_depth layer_create layer_destroy layer_destroy_instances layer_add_instance layer_has_instance layer_set_visible layer_get_visible layer_exists layer_x layer_y layer_get_x layer_get_y layer_hspeed layer_vspeed layer_get_hspeed layer_get_vspeed layer_script_begin layer_script_end layer_shader layer_get_script_begin layer_get_script_end layer_get_shader layer_set_target_room layer_get_target_room layer_reset_target_room layer_get_all layer_get_all_elements layer_get_name layer_depth layer_get_element_layer layer_get_element_type layer_element_move layer_force_draw_depth layer_is_draw_depth_forced layer_get_forced_depth layer_background_get_id layer_background_exists layer_background_create layer_background_destroy layer_background_visible layer_background_change layer_background_sprite layer_background_htiled layer_background_vtiled layer_background_stretch layer_background_yscale layer_background_xscale layer_background_blend layer_background_alpha layer_background_index layer_background_speed layer_background_get_visible layer_background_get_sprite layer_background_get_htiled layer_background_get_vtiled layer_background_get_stretch layer_background_get_yscale layer_background_get_xscale layer_background_get_blend layer_background_get_alpha layer_background_get_index layer_background_get_speed layer_sprite_get_id layer_sprite_exists layer_sprite_create layer_sprite_destroy layer_sprite_change layer_sprite_index layer_sprite_speed layer_sprite_xscale layer_sprite_yscale layer_sprite_angle layer_sprite_blend layer_sprite_alpha layer_sprite_x layer_sprite_y layer_sprite_get_sprite layer_sprite_get_index layer_sprite_get_speed layer_sprite_get_xscale layer_sprite_get_yscale layer_sprite_get_angle layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get tilemap_get_at_pixel tilemap_get_cell_x_at_pixel tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty tile_get_index tile_get_flip tile_get_mirror tile_get_rotate layer_tile_exists layer_tile_create layer_tile_destroy layer_tile_change layer_tile_xscale layer_tile_yscale layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y layer_tile_region layer_tile_visible layer_tile_get_sprite layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend layer_tile_get_alpha layer_tile_get_x layer_tile_get_y layer_tile_get_region layer_tile_get_visible layer_instance_get_instance instance_activate_layer instance_deactivate_layer camera_create camera_create_view camera_destroy camera_apply camera_get_active camera_get_default camera_set_default camera_set_view_mat camera_set_proj_mat camera_set_update_script camera_set_begin_script camera_set_end_script camera_set_view_pos camera_set_view_size camera_set_view_speed camera_set_view_border camera_set_view_angle camera_set_view_target camera_get_view_mat camera_get_proj_mat camera_get_update_script camera_get_begin_script camera_get_end_script camera_get_view_x camera_get_view_y camera_get_view_width camera_get_view_height camera_get_view_speed_x camera_get_view_speed_y camera_get_view_border_x camera_get_view_border_y camera_get_view_angle camera_get_view_target view_get_camera view_get_visible view_get_xport view_get_yport view_get_wport view_get_hport view_get_surface_id view_set_camera view_set_visible view_set_xport view_set_yport view_set_wport view_set_hport view_set_surface_id gesture_drag_time gesture_drag_distance gesture_flick_speed gesture_double_tap_time gesture_double_tap_distance gesture_pinch_distance gesture_pinch_angle_towards gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle gesture_tap_count gesture_get_drag_time gesture_get_drag_distance gesture_get_flick_speed gesture_get_double_tap_time gesture_get_double_tap_distance gesture_get_pinch_distance gesture_get_pinch_angle_towards gesture_get_pinch_angle_away gesture_get_rotate_time gesture_get_rotate_angle gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide keyboard_virtual_status keyboard_virtual_height\",literal:\"self other all noone global local undefined pointer_invalid pointer_null path_action_stop path_action_restart path_action_continue path_action_reverse true false pi GM_build_date GM_version GM_runtime_version timezone_local timezone_utc gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ev_keyrelease ev_trigger ev_left_button ev_right_button ev_middle_button ev_no_button ev_left_press ev_right_press ev_middle_press ev_left_release ev_right_release ev_middle_release ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ev_global_left_button ev_global_right_button ev_global_middle_button ev_global_left_press ev_global_right_press ev_global_middle_press ev_global_left_release ev_global_right_release ev_global_middle_release ev_joystick1_left ev_joystick1_right ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ev_joystick2_button8 ev_outside ev_boundary ev_game_start ev_game_end ev_room_start ev_room_end ev_no_more_lives ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ev_global_gesture_tap ev_global_gesture_double_tap ev_global_gesture_drag_start ev_global_gesture_dragging ev_global_gesture_drag_end ev_global_gesture_flick ev_global_gesture_pinch_start ev_global_gesture_pinch_in ev_global_gesture_pinch_out ev_global_gesture_pinch_end ev_global_gesture_rotate_start ev_global_gesture_rotating ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal c_white c_yellow c_orange fa_left fa_center fa_right fa_top fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly audio_falloff_none audio_falloff_inverse_distance audio_falloff_inverse_distance_clamped audio_falloff_linear_distance audio_falloff_linear_distance_clamped audio_falloff_exponent_distance audio_falloff_exponent_distance_clamped audio_old_system audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint cr_size_all spritespeed_framespersecond spritespeed_framespergameframe asset_object asset_unknown asset_sprite asset_sound asset_room asset_path asset_script asset_font asset_timeline asset_tiles asset_shader fa_readonly fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl dll_stdcall matrix_view matrix_projection matrix_world os_win32 os_windows os_macosx os_ios os_android os_symbian os_linux os_unknown os_winphone os_tizen os_win8native os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone os_ps3 os_xbox360 os_uwp os_tvos os_switch browser_not_a_browser browser_unknown browser_ie browser_firefox browser_chrome browser_safari browser_safari_mobile browser_opera browser_tizen browser_edge browser_windows_store browser_ie_mobile device_ios_unknown device_ios_iphone device_ios_iphone_retina device_ios_ipad device_ios_ipad_retina device_ios_iphone5 device_ios_iphone6 device_ios_iphone6plus device_emulator device_tablet display_landscape display_landscape_flipped display_portrait display_portrait_flipped tm_sleep tm_countvsyncs of_challenge_win of_challen ge_lose of_challenge_tie leaderboard_type_number leaderboard_type_time_mins_secs cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always cull_noculling cull_clockwise cull_counterclockwise lighttype_dir lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed iap_status_uninitialised iap_status_unavailable iap_status_loading iap_status_available iap_status_processing iap_status_restoring iap_failed iap_unavailable iap_available iap_purchased iap_canceled iap_refunded fb_login_default fb_login_fallback_to_webview fb_login_no_fallback_to_webview fb_login_forcing_webview fb_login_use_system_account fb_login_forcing_safari phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x phy_joint_anchor_2_y phy_joint_reaction_force_x phy_joint_reaction_force_y phy_joint_reaction_torque phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque phy_joint_max_motor_torque phy_joint_translation phy_joint_speed phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency phy_joint_lower_angle_limit phy_joint_upper_angle_limit phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque phy_joint_max_force phy_debug_render_aabb phy_debug_render_collision_pairs phy_debug_render_coms phy_debug_render_core_shapes phy_debug_render_joints phy_debug_render_obb phy_debug_render_shapes phy_particle_flag_water phy_particle_flag_zombie phy_particle_flag_wall phy_particle_flag_spring phy_particle_flag_elastic phy_particle_flag_viscous phy_particle_flag_powder phy_particle_flag_tensile phy_particle_flag_colourmixing phy_particle_flag_colormixing phy_particle_group_flag_solid phy_particle_group_flag_rigid phy_particle_data_flag_typeflags phy_particle_data_flag_position phy_particle_data_flag_velocity phy_particle_data_flag_colour phy_particle_data_flag_color phy_particle_data_flag_category achievement_our_info achievement_friends_info achievement_leaderboard_info achievement_achievement_info achievement_filter_all_players achievement_filter_friends_only achievement_filter_favorites_only achievement_type_achievement_challenge achievement_type_score_challenge achievement_pic_loaded achievement_show_ui achievement_show_profile achievement_show_leaderboard achievement_show_achievement achievement_show_bank achievement_show_friend_picker achievement_show_purchase_prompt network_socket_tcp network_socket_udp network_socket_bluetooth network_type_connect network_type_disconnect network_type_data network_type_non_blocking_connect network_config_connect_timeout network_config_use_non_blocking_socket network_config_enable_reliable_udp network_config_disable_reliable_udp buffer_fixed buffer_grow buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text buffer_string buffer_surface_copy buffer_seek_start buffer_seek_relative buffer_seek_end buffer_generalerror buffer_outofspace buffer_outofbounds buffer_invalidtype text_type button_type input_type ANSI_CHARSET DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric lb_disp_time_sec lb_disp_time_ms ugc_result_success ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ugc_visibility_friends_only ugc_visibility_private ugc_query_RankedByVote ugc_query_RankedByPublicationDate ugc_query_AcceptedForGameRankedByAcceptanceDate ugc_query_RankedByTrend ugc_query_FavoritedByFriendsRankedByPublicationDate ugc_query_CreatedByFriendsRankedByPublicationDate ugc_query_RankedByNumTimesReported ugc_query_CreatedByFollowedUsersRankedByPublicationDate ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ugc_match_WebGuides ugc_match_IntegratedGuides ugc_match_UsableInGame ugc_match_ControllerBindings vertex_usage_position vertex_usage_colour vertex_usage_color vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord vertex_usage_blendweight vertex_usage_blendindices vertex_usage_psize vertex_usage_tangent vertex_usage_binormal vertex_usage_fog vertex_usage_depth vertex_usage_sample vertex_type_float1 vertex_type_float2 vertex_type_float3 vertex_type_float4 vertex_type_colour vertex_type_color vertex_type_ubyte4 layerelementtype_undefined layerelementtype_background layerelementtype_instance layerelementtype_oldtilemap layerelementtype_sprite layerelementtype_tilemap layerelementtype_particlesystem layerelementtype_tile tile_rotate tile_flip tile_mirror tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency kbv_autocapitalize_none kbv_autocapitalize_words kbv_autocapitalize_sentences kbv_autocapitalize_characters\",symbol:\"argument_relative argument argument0 argument1 argument2 argument3 argument4 argument5 argument6 argument7 argument8 argument9 argument10 argument11 argument12 argument13 argument14 argument15 argument_count x|0 y|0 xprevious yprevious xstart ystart hspeed vspeed direction speed friction gravity gravity_direction path_index path_position path_positionprevious path_speed path_scale path_orientation path_endaction object_index id solid persistent mask_index instance_count instance_id room_speed fps fps_real current_time current_year current_month current_day current_weekday current_hour current_minute current_second alarm timeline_index timeline_position timeline_speed timeline_running timeline_loop room room_first room_last room_width room_height room_caption room_persistent score lives health show_score show_lives show_health caption_score caption_lives caption_health event_type event_number event_object event_action application_surface gamemaker_pro gamemaker_registered gamemaker_version error_occurred error_last debug_mode keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite visible sprite_index sprite_width sprite_height sprite_xoffset sprite_yoffset image_number image_index image_speed depth image_xscale image_yscale image_angle image_alpha image_blend bbox_left bbox_right bbox_top bbox_bottom layer background_colour background_showcolour background_color background_showcolor view_enabled view_current view_visible view_xview view_yview view_wview view_hview view_xport view_yport view_wport view_hport view_angle view_hborder view_vborder view_hspeed view_vspeed view_object view_surface_id view_camera game_id game_display_name game_project_name game_save_id working_directory temp_directory program_directory browser_width browser_height os_type os_device os_browser os_version display_aa async_load delta_time webgl_enabled event_data iap_data phy_rotation phy_position_x phy_position_y phy_angular_velocity phy_linear_velocity_x phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed phy_angular_damping phy_linear_damping phy_bullet phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x phy_com_y phy_dynamic phy_kinematic phy_sleeping phy_collision_points phy_collision_x phy_collision_y phy_col_normal_x phy_col_normal_y phy_position_xprevious phy_position_yprevious\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return Bn=t,Bn}var Gn,Ho;function A_(){if(Ho)return Gn;Ho=1;function t(e){const r={keyword:\"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune\",literal:\"true false iota nil\",built_in:\"append cap close complex copy imag len make new panic print println real recover delete\"};return{name:\"Go\",aliases:[\"golang\"],keywords:r,illegal:\"t(s)).join(\"\")}function n(i,o={}){return o.variants=i,o}function a(i){const o=\"[A-Za-z0-9_$]+\",s=n([i.C_LINE_COMMENT_MODE,i.C_BLOCK_COMMENT_MODE,i.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:\"@[A-Za-z]+\"}]})]),l={className:\"regexp\",begin:/~?\\/[^\\/\\n]+\\//,contains:[i.BACKSLASH_ESCAPE]},_=n([i.BINARY_NUMBER_MODE,i.C_NUMBER_MODE]),c=n([{begin:/\"\"\"/,end:/\"\"\"/},{begin:/'''/,end:/'''/},{begin:\"\\\\$/\",end:\"/\\\\$\",relevance:10},i.APOS_STRING_MODE,i.QUOTE_STRING_MODE],{className:\"string\"});return{name:\"Groovy\",keywords:{built_in:\"this super\",literal:\"true false null\",keyword:\"byte short char int long boolean float double void def as in assert trait abstract static volatile transient public private protected synchronized final class interface enum if else for while switch case break default continue throw throws try catch finally implements extends new import package return instanceof\"},contains:[i.SHEBANG({binary:\"groovy\",relevance:10}),s,c,l,_,{className:\"class\",beginKeywords:\"class interface trait enum\",end:/\\{/,illegal:\":\",contains:[{beginKeywords:\"extends implements\"},i.UNDERSCORE_TITLE_MODE]},{className:\"meta\",begin:\"@[A-Za-z]+\",relevance:0},{className:\"attr\",begin:o+\"[ \t]*:\",relevance:0},{begin:/\\?/,end:/:/,relevance:0,contains:[s,c,l,_,\"self\"]},{className:\"symbol\",begin:\"^[ \t]*\"+e(o+\":\"),excludeBegin:!0,end:o+\":\",relevance:0}],illegal:/#|<\\//}}return Hn=a,Hn}var Vn,Ko;function h_(){if(Ko)return Vn;Ko=1;function t(e){return{name:\"HAML\",case_insensitive:!0,contains:[{className:\"meta\",begin:\"^!!!( (5|1\\\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\\\b.*))?$\",relevance:10},e.COMMENT(\"^\\\\s*(!=#|=#|-#|/).*$\",!1,{relevance:0}),{begin:\"^\\\\s*(-|=|!=)(?!#)\",starts:{end:\"\\\\n\",subLanguage:\"ruby\"}},{className:\"tag\",begin:\"^\\\\s*%\",contains:[{className:\"selector-tag\",begin:\"\\\\w+\"},{className:\"selector-id\",begin:\"#[\\\\w-]+\"},{className:\"selector-class\",begin:\"\\\\.[\\\\w-]+\"},{begin:/\\{\\s*/,end:/\\s*\\}/,contains:[{begin:\":\\\\w+\\\\s*=>\",end:\",\\\\s+\",returnBegin:!0,endsWithParent:!0,contains:[{className:\"attr\",begin:\":\\\\w+\"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"\\\\w+\",relevance:0}]}]},{begin:\"\\\\(\\\\s*\",end:\"\\\\s*\\\\)\",excludeEnd:!0,contains:[{begin:\"\\\\w+\\\\s*=\",end:\"\\\\s+\",returnBegin:!0,endsWithParent:!0,contains:[{className:\"attr\",begin:\"\\\\w+\",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"\\\\w+\",relevance:0}]}]}]},{begin:\"^\\\\s*[=~]\\\\s*\"},{begin:/#\\{/,starts:{end:/\\}/,subLanguage:\"ruby\"}}]}}return Vn=t,Vn}var Wn,$o;function M_(){if($o)return Wn;$o=1;function t(o){return o?typeof o==\"string\"?o:o.source:null}function e(o){return n(\"(\",o,\")*\")}function r(o){return n(\"(\",o,\")?\")}function n(...o){return o.map(l=>t(l)).join(\"\")}function a(...o){return\"(\"+o.map(l=>t(l)).join(\"|\")+\")\"}function i(o){const s={\"builtin-name\":[\"action\",\"bindattr\",\"collection\",\"component\",\"concat\",\"debugger\",\"each\",\"each-in\",\"get\",\"hash\",\"if\",\"in\",\"input\",\"link-to\",\"loc\",\"log\",\"lookup\",\"mut\",\"outlet\",\"partial\",\"query-params\",\"render\",\"template\",\"textarea\",\"unbound\",\"unless\",\"view\",\"with\",\"yield\"]},l={literal:[\"true\",\"false\",\"undefined\",\"null\"]},_=/\"\"|\"[^\"]+\"/,c=/''|'[^']+'/,E=/\\[\\]|\\[[^\\]]+\\]/,d=/[^\\s!\"#%&'()*+,.\\/;<=>@\\[\\\\\\]^`{|}~]+/,u=/(\\.|\\/)/,p=a(_,c,E,d),T=n(r(/\\.|\\.\\/|\\//),p,e(n(u,p))),g=n(\"(\",E,\"|\",d,\")(?==)\"),S={begin:T,lexemes:/[\\w.\\/]+/},b=o.inherit(S,{keywords:l}),f={begin:/\\(/,end:/\\)/},C={className:\"attr\",begin:g,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[o.NUMBER_MODE,o.QUOTE_STRING_MODE,o.APOS_STRING_MODE,b,f]}}},y={begin:/as\\s+\\|/,keywords:{keyword:\"as\"},end:/\\|/,contains:[{begin:/\\w+/}]},A={contains:[o.NUMBER_MODE,o.QUOTE_STRING_MODE,o.APOS_STRING_MODE,y,C,b,f],returnEnd:!0},O=o.inherit(S,{className:\"name\",keywords:s,starts:o.inherit(A,{end:/\\)/})});f.contains=[O];const P=o.inherit(S,{keywords:s,className:\"name\",starts:o.inherit(A,{end:/\\}\\}/})}),w=o.inherit(S,{keywords:s,className:\"name\"}),F=o.inherit(S,{className:\"name\",keywords:s,starts:o.inherit(A,{end:/\\}\\}/})});return{name:\"Handlebars\",aliases:[\"hbs\",\"html.hbs\",\"html.handlebars\",\"htmlbars\"],case_insensitive:!0,subLanguage:\"xml\",contains:[{begin:/\\\\\\{\\{/,skip:!0},{begin:/\\\\\\\\(?=\\{\\{)/,skip:!0},o.COMMENT(/\\{\\{!--/,/--\\}\\}/),o.COMMENT(/\\{\\{!/,/\\}\\}/),{className:\"template-tag\",begin:/\\{\\{\\{\\{(?!\\/)/,end:/\\}\\}\\}\\}/,contains:[P],starts:{end:/\\{\\{\\{\\{\\//,returnEnd:!0,subLanguage:\"xml\"}},{className:\"template-tag\",begin:/\\{\\{\\{\\{\\//,end:/\\}\\}\\}\\}/,contains:[w]},{className:\"template-tag\",begin:/\\{\\{#/,end:/\\}\\}/,contains:[P]},{className:\"template-tag\",begin:/\\{\\{(?=else\\}\\})/,end:/\\}\\}/,keywords:\"else\"},{className:\"template-tag\",begin:/\\{\\{(?=else if)/,end:/\\}\\}/,keywords:\"else if\"},{className:\"template-tag\",begin:/\\{\\{\\//,end:/\\}\\}/,contains:[w]},{className:\"template-variable\",begin:/\\{\\{\\{/,end:/\\}\\}\\}/,contains:[F]},{className:\"template-variable\",begin:/\\{\\{/,end:/\\}\\}/,contains:[F]}]}}return Wn=i,Wn}var zn,Qo;function L_(){if(Qo)return zn;Qo=1;function t(e){const r={variants:[e.COMMENT(\"--\",\"$\"),e.COMMENT(/\\{-/,/-\\}/,{contains:[\"self\"]})]},n={className:\"meta\",begin:/\\{-#/,end:/#-\\}/},a={className:\"meta\",begin:\"^#\",end:\"$\"},i={className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},o={begin:\"\\\\(\",end:\"\\\\)\",illegal:'\"',contains:[n,a,{className:\"type\",begin:\"\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?\"},e.inherit(e.TITLE_MODE,{begin:\"[_a-z][\\\\w']*\"}),r]},s={begin:/\\{/,end:/\\}/,contains:o.contains};return{name:\"Haskell\",aliases:[\"hs\"],keywords:\"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec\",contains:[{beginKeywords:\"module\",end:\"where\",keywords:\"module where\",contains:[o,r],illegal:\"\\\\W\\\\.|;\"},{begin:\"\\\\bimport\\\\b\",end:\"$\",keywords:\"import qualified as hiding\",contains:[o,r],illegal:\"\\\\W\\\\.|;\"},{className:\"class\",begin:\"^(\\\\s*)?(class|instance)\\\\b\",end:\"where\",keywords:\"class family instance where\",contains:[i,o,r]},{className:\"class\",begin:\"\\\\b(data|(new)?type)\\\\b\",end:\"$\",keywords:\"data family type newtype deriving\",contains:[n,i,o,s,r]},{beginKeywords:\"default\",end:\"$\",contains:[i,o,r]},{beginKeywords:\"infix infixl infixr\",end:\"$\",contains:[e.C_NUMBER_MODE,r]},{begin:\"\\\\bforeign\\\\b\",end:\"$\",keywords:\"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe\",contains:[i,e.QUOTE_STRING_MODE,r]},{className:\"meta\",begin:\"#!\\\\/usr\\\\/bin\\\\/env runhaskell\",end:\"$\"},n,a,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,i,e.inherit(e.TITLE_MODE,{begin:\"^[_a-z][\\\\w']*\"}),r,{begin:\"->|<-\"}]}}return zn=t,zn}var Kn,Xo;function x_(){if(Xo)return Kn;Xo=1;function t(e){return{name:\"Haxe\",aliases:[\"hx\"],keywords:{keyword:\"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while \"+\"Int Float String Bool Dynamic Void Array \",built_in:\"trace this\",literal:\"true false null _\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE,{className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\"},{className:\"subst\",begin:\"\\\\$\",end:/\\W\\}/}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"@:\",end:\"$\"},{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elseif end error\"}},{className:\"type\",begin:\":[ \t]*\",end:\"[^A-Za-z0-9_ \t\\\\->]\",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:\":[ \t]*\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"new *\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"class\",beginKeywords:\"enum\",end:\"\\\\{\",contains:[e.TITLE_MODE]},{className:\"class\",beginKeywords:\"abstract\",end:\"[\\\\{$]\",contains:[{className:\"type\",begin:\"\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"from +\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"to +\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:\"abstract from to\"}},{className:\"class\",begin:\"\\\\b(class|interface) +\",end:\"[\\\\{$]\",excludeEnd:!0,keywords:\"class interface\",contains:[{className:\"keyword\",begin:\"\\\\b(extends|implements) +\",keywords:\"extends implements\",contains:[{className:\"type\",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:\"function\",beginKeywords:\"function\",end:\"\\\\(\",excludeEnd:!0,illegal:\"\\\\S\",contains:[e.TITLE_MODE]}],illegal:/<\\//}}return Kn=t,Kn}var $n,Zo;function P_(){if(Zo)return $n;Zo=1;function t(e){return{name:\"HSP\",case_insensitive:!0,keywords:{$pattern:/[\\w._]+/,keyword:\"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:\"string\",begin:/\\{\"/,end:/\"\\}/,contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib\"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"symbol\",begin:\"^\\\\*(\\\\w+|@)\"},e.NUMBER_MODE,e.C_NUMBER_MODE]}}return $n=t,$n}var Qn,Jo;function w_(){if(Jo)return Qn;Jo=1;function t(s){return s?typeof s==\"string\"?s:s.source:null}function e(s){return n(\"(\",s,\")*\")}function r(s){return n(\"(\",s,\")?\")}function n(...s){return s.map(_=>t(_)).join(\"\")}function a(...s){return\"(\"+s.map(_=>t(_)).join(\"|\")+\")\"}function i(s){const l={\"builtin-name\":[\"action\",\"bindattr\",\"collection\",\"component\",\"concat\",\"debugger\",\"each\",\"each-in\",\"get\",\"hash\",\"if\",\"in\",\"input\",\"link-to\",\"loc\",\"log\",\"lookup\",\"mut\",\"outlet\",\"partial\",\"query-params\",\"render\",\"template\",\"textarea\",\"unbound\",\"unless\",\"view\",\"with\",\"yield\"]},_={literal:[\"true\",\"false\",\"undefined\",\"null\"]},c=/\"\"|\"[^\"]+\"/,E=/''|'[^']+'/,d=/\\[\\]|\\[[^\\]]+\\]/,u=/[^\\s!\"#%&'()*+,.\\/;<=>@\\[\\\\\\]^`{|}~]+/,p=/(\\.|\\/)/,T=a(c,E,d,u),g=n(r(/\\.|\\.\\/|\\//),T,e(n(p,T))),S=n(\"(\",d,\"|\",u,\")(?==)\"),b={begin:g,lexemes:/[\\w.\\/]+/},f=s.inherit(b,{keywords:_}),C={begin:/\\(/,end:/\\)/},y={className:\"attr\",begin:S,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[s.NUMBER_MODE,s.QUOTE_STRING_MODE,s.APOS_STRING_MODE,f,C]}}},A={begin:/as\\s+\\|/,keywords:{keyword:\"as\"},end:/\\|/,contains:[{begin:/\\w+/}]},O={contains:[s.NUMBER_MODE,s.QUOTE_STRING_MODE,s.APOS_STRING_MODE,A,y,f,C],returnEnd:!0},P=s.inherit(b,{className:\"name\",keywords:l,starts:s.inherit(O,{end:/\\)/})});C.contains=[P];const w=s.inherit(b,{keywords:l,className:\"name\",starts:s.inherit(O,{end:/\\}\\}/})}),F=s.inherit(b,{keywords:l,className:\"name\"}),z=s.inherit(b,{className:\"name\",keywords:l,starts:s.inherit(O,{end:/\\}\\}/})});return{name:\"Handlebars\",aliases:[\"hbs\",\"html.hbs\",\"html.handlebars\",\"htmlbars\"],case_insensitive:!0,subLanguage:\"xml\",contains:[{begin:/\\\\\\{\\{/,skip:!0},{begin:/\\\\\\\\(?=\\{\\{)/,skip:!0},s.COMMENT(/\\{\\{!--/,/--\\}\\}/),s.COMMENT(/\\{\\{!/,/\\}\\}/),{className:\"template-tag\",begin:/\\{\\{\\{\\{(?!\\/)/,end:/\\}\\}\\}\\}/,contains:[w],starts:{end:/\\{\\{\\{\\{\\//,returnEnd:!0,subLanguage:\"xml\"}},{className:\"template-tag\",begin:/\\{\\{\\{\\{\\//,end:/\\}\\}\\}\\}/,contains:[F]},{className:\"template-tag\",begin:/\\{\\{#/,end:/\\}\\}/,contains:[w]},{className:\"template-tag\",begin:/\\{\\{(?=else\\}\\})/,end:/\\}\\}/,keywords:\"else\"},{className:\"template-tag\",begin:/\\{\\{(?=else if)/,end:/\\}\\}/,keywords:\"else if\"},{className:\"template-tag\",begin:/\\{\\{\\//,end:/\\}\\}/,contains:[F]},{className:\"template-variable\",begin:/\\{\\{\\{/,end:/\\}\\}\\}/,contains:[z]},{className:\"template-variable\",begin:/\\{\\{/,end:/\\}\\}/,contains:[z]}]}}function o(s){const l=i(s);return l.name=\"HTMLbars\",s.getLanguage(\"handlebars\")&&(l.disableAutodetect=!0),l}return Qn=o,Qn}var Xn,jo;function k_(){if(jo)return Xn;jo=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a=\"HTTP/(2|1\\\\.[01])\",o={className:\"attribute\",begin:e(\"^\",/[A-Za-z][A-Za-z0-9-]*/,\"(?=\\\\:\\\\s)\"),starts:{contains:[{className:\"punctuation\",begin:/: /,relevance:0,starts:{end:\"$\",relevance:0}}]}},s=[o,{begin:\"\\\\n\\\\n\",starts:{subLanguage:[],endsWithParent:!0}}];return{name:\"HTTP\",aliases:[\"https\"],illegal:/\\S/,contains:[{begin:\"^(?=\"+a+\" \\\\d{3})\",end:/$/,contains:[{className:\"meta\",begin:a},{className:\"number\",begin:\"\\\\b\\\\d{3}\\\\b\"}],starts:{end:/\\b\\B/,illegal:/\\S/,contains:s}},{begin:\"(?=^[A-Z]+ (.*?) \"+a+\"$)\",end:/$/,contains:[{className:\"string\",begin:\" \",end:\" \",excludeBegin:!0,excludeEnd:!0},{className:\"meta\",begin:a},{className:\"keyword\",begin:\"[A-Z]+\"}],starts:{end:/\\b\\B/,illegal:/\\S/,contains:s}},n.inherit(o,{relevance:0})]}}return Xn=r,Xn}var Zn,es;function U_(){if(es)return Zn;es=1;function t(e){var r=\"a-zA-Z_\\\\-!.?+*=<>&#'\",n=\"[\"+r+\"][\"+r+\"0-9/;:]*\",a={$pattern:n,\"builtin-name\":\"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~\"},i=\"[-+]?\\\\d+(\\\\.\\\\d+)?\",o={begin:n,relevance:0},s={className:\"number\",begin:i,relevance:0},l=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),_=e.COMMENT(\";\",\"$\",{relevance:0}),c={className:\"literal\",begin:/\\b([Tt]rue|[Ff]alse|nil|None)\\b/},E={begin:\"[\\\\[\\\\{]\",end:\"[\\\\]\\\\}]\"},d={className:\"comment\",begin:\"\\\\^\"+n},u=e.COMMENT(\"\\\\^\\\\{\",\"\\\\}\"),p={className:\"symbol\",begin:\"[:]{1,2}\"+n},T={begin:\"\\\\(\",end:\"\\\\)\"},g={endsWithParent:!0,relevance:0},S={className:\"name\",relevance:0,keywords:a,begin:n,starts:g},b=[T,l,d,u,_,p,E,s,c,o];return T.contains=[e.COMMENT(\"comment\",\"\"),S,g],g.contains=b,E.contains=b,{name:\"Hy\",aliases:[\"hylang\"],illegal:/\\S/,contains:[e.SHEBANG(),T,l,d,u,_,p,E,s,c]}}return Zn=t,Zn}var Jn,ts;function F_(){if(ts)return Jn;ts=1;function t(e){return{name:\"Inform 7\",aliases:[\"i7\"],case_insensitive:!0,keywords:{keyword:\"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule\"},contains:[{className:\"string\",begin:'\"',end:'\"',relevance:0,contains:[{className:\"subst\",begin:\"\\\\[\",end:\"\\\\]\"}]},{className:\"section\",begin:/^(Volume|Book|Part|Chapter|Section|Table)\\b/,end:\"$\"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\\b/,end:\":\",contains:[{begin:\"\\\\(This\",end:\"\\\\)\"}]},{className:\"comment\",begin:\"\\\\[\",end:\"\\\\]\",contains:[\"self\"]}]}}return Jn=t,Jn}var jn,ns;function B_(){if(ns)return jn;ns=1;function t(i){return i?typeof i==\"string\"?i:i.source:null}function e(i){return r(\"(?=\",i,\")\")}function r(...i){return i.map(s=>t(s)).join(\"\")}function n(...i){return\"(\"+i.map(s=>t(s)).join(\"|\")+\")\"}function a(i){const o={className:\"number\",relevance:0,variants:[{begin:/([+-]+)?[\\d]+_[\\d_]+/},{begin:i.NUMBER_RE}]},s=i.COMMENT();s.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];const l={className:\"variable\",variants:[{begin:/\\$[\\w\\d\"][\\w\\d_]*/},{begin:/\\$\\{(.*?)\\}/}]},_={className:\"literal\",begin:/\\bon|off|true|false|yes|no\\b/},c={className:\"string\",contains:[i.BACKSLASH_ESCAPE],variants:[{begin:\"'''\",end:\"'''\",relevance:10},{begin:'\"\"\"',end:'\"\"\"',relevance:10},{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"}]},E={begin:/\\[/,end:/\\]/,contains:[s,_,l,c,o,\"self\"],relevance:0},T=n(/[A-Za-z0-9_-]+/,/\"(\\\\\"|[^\"])*\"/,/'[^']*'/),g=r(T,\"(\\\\s*\\\\.\\\\s*\",T,\")*\",e(/\\s*=\\s*[^#\\s]/));return{name:\"TOML, also INI\",aliases:[\"toml\"],case_insensitive:!0,illegal:/\\S/,contains:[s,{className:\"section\",begin:/\\[+/,end:/\\]+/},{begin:g,className:\"attr\",starts:{end:/$/,contains:[s,E,_,l,c,o]}}]}}return jn=a,jn}var ea,as;function G_(){if(as)return ea;as=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"},i=/(_[a-z_\\d]+)?/,o=/([de][+-]?\\d+)?/,s={className:\"number\",variants:[{begin:e(/\\b\\d+/,/\\.(\\d*)/,o,i)},{begin:e(/\\b\\d+/,o,i)},{begin:e(/\\.\\d+/,o,i)}],relevance:0};return{name:\"IRPF90\",case_insensitive:!0,keywords:{literal:\".False. .True.\",keyword:\"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read\",built_in:\"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here\"},illegal:/\\/\\*/,contains:[n.inherit(n.APOS_STRING_MODE,{className:\"string\",relevance:0}),n.inherit(n.QUOTE_STRING_MODE,{className:\"string\",relevance:0}),{className:\"function\",beginKeywords:\"subroutine function program\",illegal:\"[${=\\\\n]\",contains:[n.UNDERSCORE_TITLE_MODE,a]},n.COMMENT(\"!\",\"$\",{relevance:0}),n.COMMENT(\"begin_doc\",\"end_doc\",{relevance:10}),s]}}return ea=r,ea}var ta,rs;function Y_(){if(rs)return ta;rs=1;function t(e){const r=\"[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*\",n=\"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\",a=\"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока \",be=\"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE \"+\"CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE \"+\"ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME \"+\"DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY \"+\"ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION \"+\"JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY \"+\"ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE \"+\"smHidden smMaximized smMinimized smNormal wmNo wmYes \"+\"COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND \"+\"COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE \"+\"MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY \"+\"NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY \"+\"dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT \"+\"CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM \"+\"ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME \"+\"PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE \"+\"ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE \"+\"CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT \"+\"STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER \"+\"COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE \"+\"SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID \"+\"RESULT_VAR_NAME RESULT_VAR_NAME_ENG \"+\"AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID \"+\"SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY \"+\"SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY \"+\"SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS \"+\"SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS \"+\"SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS \"+\"ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME \"+\"TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME \"+\"ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk \"+\"EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE \"+\"cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate \"+\"ISBL_SYNTAX NO_SYNTAX XML_SYNTAX \"+\"WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY \"+\"SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP \",Tt=\"atUser atGroup atRole \"+\"aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty \"+\"apBegin apEnd \"+\"alLeft alRight \"+\"asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways \"+\"cirCommon cirRevoked \"+\"ctSignature ctEncode ctSignatureEncode \"+\"clbUnchecked clbChecked clbGrayed \"+\"ceISB ceAlways ceNever \"+\"ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob \"+\"cfInternal cfDisplay \"+\"ciUnspecified ciWrite ciRead \"+\"ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog \"+\"ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton \"+\"cctDate cctInteger cctNumeric cctPick cctReference cctString cctText \"+\"cltInternal cltPrimary cltGUI \"+\"dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange \"+\"dssEdit dssInsert dssBrowse dssInActive \"+\"dftDate dftShortDate dftDateTime dftTimeStamp \"+\"dotDays dotHours dotMinutes dotSeconds \"+\"dtkndLocal dtkndUTC \"+\"arNone arView arEdit arFull \"+\"ddaView ddaEdit \"+\"emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode \"+\"ecotFile ecotProcess \"+\"eaGet eaCopy eaCreate eaCreateStandardRoute \"+\"edltAll edltNothing edltQuery \"+\"essmText essmCard \"+\"esvtLast esvtLastActive esvtSpecified \"+\"edsfExecutive edsfArchive \"+\"edstSQLServer edstFile \"+\"edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile \"+\"vsDefault vsDesign vsActive vsObsolete \"+\"etNone etCertificate etPassword etCertificatePassword \"+\"ecException ecWarning ecInformation \"+\"estAll estApprovingOnly \"+\"evtLast evtLastActive evtQuery \"+\"fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger \"+\"ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch \"+\"grhAuto grhX1 grhX2 grhX3 \"+\"hltText hltRTF hltHTML \"+\"iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG \"+\"im8bGrayscale im24bRGB im1bMonochrome \"+\"itBMP itJPEG itWMF itPNG \"+\"ikhInformation ikhWarning ikhError ikhNoIcon \"+\"icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler \"+\"isShow isHide isByUserSettings \"+\"jkJob jkNotice jkControlJob \"+\"jtInner jtLeft jtRight jtFull jtCross \"+\"lbpAbove lbpBelow lbpLeft lbpRight \"+\"eltPerConnection eltPerUser \"+\"sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac \"+\"sfsItalic sfsStrikeout sfsNormal \"+\"ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents \"+\"mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom \"+\"vtEqual vtGreaterOrEqual vtLessOrEqual vtRange \"+\"rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth \"+\"rdWindow rdFile rdPrinter \"+\"rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument \"+\"reOnChange reOnChangeValues \"+\"ttGlobal ttLocal ttUser ttSystem \"+\"ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal \"+\"smSelect smLike smCard \"+\"stNone stAuthenticating stApproving \"+\"sctString sctStream \"+\"sstAnsiSort sstNaturalSort \"+\"svtEqual svtContain \"+\"soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown \"+\"tarAbortByUser tarAbortByWorkflowException \"+\"tvtAllWords tvtExactPhrase tvtAnyWord \"+\"usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp \"+\"utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected \"+\"btAnd btDetailAnd btOr btNotOr btOnly \"+\"vmView vmSelect vmNavigation \"+\"vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection \"+\"wfatPrevious wfatNext wfatCancel wfatFinish \"+\"wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 \"+\"wfetQueryParameter wfetText wfetDelimiter wfetLabel \"+\"wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate \"+\"wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal \"+\"wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal \"+\"waAll waPerformers waManual \"+\"wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause \"+\"wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection \"+\"wiLow wiNormal wiHigh \"+\"wrtSoft wrtHard \"+\"wsInit wsRunning wsDone wsControlled wsAborted wsContinued \"+\"wtmFull wtmFromCurrent wtmOnlyCurrent \",Je=\"AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр \",Y=\"AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач \",dt=\"IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto \",re=be+Tt,j=Y,Ge=\"null true false nil \",Ie={className:\"number\",begin:e.NUMBER_RE,relevance:0},Ye={className:\"string\",variants:[{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"}]},qe={className:\"doctag\",begin:\"\\\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\\\b\",relevance:0},h={className:\"comment\",begin:\"//\",end:\"$\",relevance:0,contains:[e.PHRASAL_WORDS_MODE,qe]},x={className:\"comment\",begin:\"/\\\\*\",end:\"\\\\*/\",relevance:0,contains:[e.PHRASAL_WORDS_MODE,qe]},G={variants:[h,x]},H={$pattern:r,keyword:a,built_in:re,class:j,literal:Ge},ae={begin:\"\\\\.\\\\s*\"+e.UNDERSCORE_IDENT_RE,keywords:H,relevance:0},Pe={className:\"type\",begin:\":[ \\\\t]*(\"+dt.trim().replace(/\\s/g,\"|\")+\")\",end:\"[ \\\\t]*=\",excludeEnd:!0},ut={className:\"variable\",keywords:H,begin:r,relevance:0,contains:[Pe,ae]},Ri=n+\"\\\\(\";return{name:\"ISBL\",case_insensitive:!0,keywords:H,illegal:\"\\\\$|\\\\?|%|,|;$|~|#|@|)?\",l=\"false synchronized int abstract float private char boolean var static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private module requires exports do\",_={className:\"meta\",begin:\"@\"+o,contains:[{begin:/\\(/,end:/\\)/,contains:[\"self\"]}]};const c=n;return{name:\"Java\",aliases:[\"jsp\"],keywords:l,illegal:/<\\/|#/,contains:[i.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),{begin:/import java\\.[a-z]+\\./,keywords:\"import\",relevance:2},i.C_LINE_COMMENT_MODE,i.C_BLOCK_COMMENT_MODE,i.APOS_STRING_MODE,i.QUOTE_STRING_MODE,{className:\"class\",beginKeywords:\"class interface enum\",end:/[{;=]/,excludeEnd:!0,relevance:1,keywords:\"class interface enum\",illegal:/[:\"\\[\\]]/,contains:[{beginKeywords:\"extends implements\"},i.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"new throw return else\",relevance:0},{className:\"class\",begin:\"record\\\\s+\"+i.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,excludeEnd:!0,end:/[{;=]/,keywords:l,contains:[{beginKeywords:\"record\"},{begin:i.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[i.UNDERSCORE_TITLE_MODE]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:l,relevance:0,contains:[i.C_BLOCK_COMMENT_MODE]},i.C_LINE_COMMENT_MODE,i.C_BLOCK_COMMENT_MODE]},{className:\"function\",begin:\"(\"+s+\"\\\\s+)+\"+i.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:l,contains:[{begin:i.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[i.UNDERSCORE_TITLE_MODE]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:l,relevance:0,contains:[_,i.APOS_STRING_MODE,i.QUOTE_STRING_MODE,c,i.C_BLOCK_COMMENT_MODE]},i.C_LINE_COMMENT_MODE,i.C_BLOCK_COMMENT_MODE]},c,_]}}return na=a,na}var aa,os;function H_(){if(os)return aa;os=1;const t=\"[A-Za-z$_][0-9A-Za-z$_]*\",e=[\"as\",\"in\",\"of\",\"if\",\"for\",\"while\",\"finally\",\"var\",\"new\",\"function\",\"do\",\"return\",\"void\",\"else\",\"break\",\"catch\",\"instanceof\",\"with\",\"throw\",\"case\",\"default\",\"try\",\"switch\",\"continue\",\"typeof\",\"delete\",\"let\",\"yield\",\"const\",\"class\",\"debugger\",\"async\",\"await\",\"static\",\"import\",\"from\",\"export\",\"extends\"],r=[\"true\",\"false\",\"null\",\"undefined\",\"NaN\",\"Infinity\"],n=[\"Intl\",\"DataView\",\"Number\",\"Math\",\"Date\",\"String\",\"RegExp\",\"Object\",\"Function\",\"Boolean\",\"Error\",\"Symbol\",\"Set\",\"Map\",\"WeakSet\",\"WeakMap\",\"Proxy\",\"Reflect\",\"JSON\",\"Promise\",\"Float64Array\",\"Int16Array\",\"Int32Array\",\"Int8Array\",\"Uint16Array\",\"Uint32Array\",\"Float32Array\",\"Array\",\"Uint8Array\",\"Uint8ClampedArray\",\"ArrayBuffer\",\"BigInt64Array\",\"BigUint64Array\",\"BigInt\"],a=[\"EvalError\",\"InternalError\",\"RangeError\",\"ReferenceError\",\"SyntaxError\",\"TypeError\",\"URIError\"],i=[\"setInterval\",\"setTimeout\",\"clearInterval\",\"clearTimeout\",\"require\",\"exports\",\"eval\",\"isFinite\",\"isNaN\",\"parseFloat\",\"parseInt\",\"decodeURI\",\"decodeURIComponent\",\"encodeURI\",\"encodeURIComponent\",\"escape\",\"unescape\"],o=[\"arguments\",\"this\",\"super\",\"console\",\"window\",\"document\",\"localStorage\",\"module\",\"global\"],s=[].concat(i,o,n,a);function l(d){return d?typeof d==\"string\"?d:d.source:null}function _(d){return c(\"(?=\",d,\")\")}function c(...d){return d.map(p=>l(p)).join(\"\")}function E(d){const u=(X,{after:Z})=>{const ie=\"\",end:\"\"},g={begin:/<[A-Za-z0-9\\\\._:-]+/,end:/\\/[A-Za-z0-9\\\\._:-]+>|\\/>/,isTrulyOpeningTag:(X,Z)=>{const ie=X[0].length+X.index,oe=X.input[ie];if(oe===\"<\"){Z.ignoreMatch();return}oe===\">\"&&(u(X,{after:ie})||Z.ignoreMatch())}},S={$pattern:t,keyword:e,literal:r,built_in:s},b=\"[0-9](_?[0-9])*\",f=`\\\\.(${b})`,C=\"0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*\",y={className:\"number\",variants:[{begin:`(\\\\b(${C})((${f})|\\\\.)?|(${f}))[eE][+-]?(${b})\\\\b`},{begin:`\\\\b(${C})\\\\b((${f})\\\\b|\\\\.)?|(${f})\\\\b`},{begin:\"\\\\b(0|[1-9](_?[0-9])*)n\\\\b\"},{begin:\"\\\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\\\b\"},{begin:\"\\\\b0[bB][0-1](_?[0-1])*n?\\\\b\"},{begin:\"\\\\b0[oO][0-7](_?[0-7])*n?\\\\b\"},{begin:\"\\\\b0[0-7]+n?\\\\b\"}],relevance:0},A={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:S,contains:[]},O={begin:\"html`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[d.BACKSLASH_ESCAPE,A],subLanguage:\"xml\"}},P={begin:\"css`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[d.BACKSLASH_ESCAPE,A],subLanguage:\"css\"}},w={className:\"string\",begin:\"`\",end:\"`\",contains:[d.BACKSLASH_ESCAPE,A]},z={className:\"comment\",variants:[d.COMMENT(/\\/\\*\\*(?!\\/)/,\"\\\\*/\",{relevance:0,contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\",contains:[{className:\"type\",begin:\"\\\\{\",end:\"\\\\}\",relevance:0},{className:\"variable\",begin:p+\"(?=\\\\s*(-)|$)\",endsParent:!0,relevance:0},{begin:/(?=[^\\n])\\s/,relevance:0}]}]}),d.C_BLOCK_COMMENT_MODE,d.C_LINE_COMMENT_MODE]},k=[d.APOS_STRING_MODE,d.QUOTE_STRING_MODE,O,P,w,y,d.REGEXP_MODE];A.contains=k.concat({begin:/\\{/,end:/\\}/,keywords:S,contains:[\"self\"].concat(k)});const Q=[].concat(z,A.contains),le=Q.concat([{begin:/\\(/,end:/\\)/,keywords:S,contains:[\"self\"].concat(Q)}]),ne={className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:S,contains:le};return{name:\"Javascript\",aliases:[\"js\",\"jsx\",\"mjs\",\"cjs\"],keywords:S,exports:{PARAMS_CONTAINS:le},illegal:/#(?![$_A-z])/,contains:[d.SHEBANG({label:\"shebang\",binary:\"node\",relevance:5}),{label:\"use_strict\",className:\"meta\",relevance:10,begin:/^\\s*['\"]use (strict|asm)['\"]/},d.APOS_STRING_MODE,d.QUOTE_STRING_MODE,O,P,w,z,y,{begin:c(/[{,\\n]\\s*/,_(c(/(((\\/\\/.*$)|(\\/\\*(\\*[^/]|[^*])*\\*\\/))\\s*)*/,p+\"\\\\s*:\"))),relevance:0,contains:[{className:\"attr\",begin:p+_(\"\\\\s*:\"),relevance:0}]},{begin:\"(\"+d.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[z,d.REGEXP_MODE,{className:\"function\",begin:\"(\\\\([^()]*(\\\\([^()]*(\\\\([^()]*\\\\)[^()]*)*\\\\)[^()]*)*\\\\)|\"+d.UNDERSCORE_IDENT_RE+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:d.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\\(\\s*\\)/,skip:!0},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:S,contains:le}]}]},{begin:/,/,relevance:0},{className:\"\",begin:/\\s/,end:/\\s*/,skip:!0},{variants:[{begin:T.begin,end:T.end},{begin:g.begin,\"on:begin\":g.isTrulyOpeningTag,end:g.end}],subLanguage:\"xml\",contains:[{begin:g.begin,end:g.end,skip:!0,contains:[\"self\"]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/[{;]/,excludeEnd:!0,keywords:S,contains:[\"self\",d.inherit(d.TITLE_MODE,{begin:p}),ne],illegal:/%/},{beginKeywords:\"while if switch catch for\"},{className:\"function\",begin:d.UNDERSCORE_IDENT_RE+\"\\\\([^()]*(\\\\([^()]*(\\\\([^()]*\\\\)[^()]*)*\\\\)[^()]*)*\\\\)\\\\s*\\\\{\",returnBegin:!0,contains:[ne,d.inherit(d.TITLE_MODE,{begin:p})]},{variants:[{begin:\"\\\\.\"+p},{begin:\"\\\\$\"+p}],relevance:0},{className:\"class\",beginKeywords:\"class\",end:/[{;=]/,excludeEnd:!0,illegal:/[:\"[\\]]/,contains:[{beginKeywords:\"extends\"},d.UNDERSCORE_TITLE_MODE]},{begin:/\\b(?=constructor)/,end:/[{;]/,excludeEnd:!0,contains:[d.inherit(d.TITLE_MODE,{begin:p}),\"self\",ne]},{begin:\"(get|set)\\\\s+(?=\"+p+\"\\\\()\",end:/\\{/,keywords:\"get set\",contains:[d.inherit(d.TITLE_MODE,{begin:p}),{begin:/\\(\\)/},ne]},{begin:/\\$[(.]/}]}}return aa=E,aa}var ra,ss;function V_(){if(ss)return ra;ss=1;function t(e){const n={className:\"params\",begin:/\\(/,end:/\\)/,contains:[{begin:/[\\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:/[\\w-]+/}]}],relevance:0},a={className:\"function\",begin:/:[\\w\\-.]+/,relevance:0},i={className:\"string\",begin:/\\B([\\/.])[\\w\\-.\\/=]+/},o={className:\"params\",begin:/--[\\w\\-=\\/]+/};return{name:\"JBoss CLI\",aliases:[\"wildfly-cli\"],keywords:{$pattern:\"[a-z-]+\",keyword:\"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source\",literal:\"true false\"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,o,a,i,n]}}return ra=t,ra}var ia,ls;function W_(){if(ls)return ia;ls=1;function t(e){const r={literal:\"true false null\"},n=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE],a=[e.QUOTE_STRING_MODE,e.C_NUMBER_MODE],i={end:\",\",endsWithParent:!0,excludeEnd:!0,contains:a,keywords:r},o={begin:/\\{/,end:/\\}/,contains:[{className:\"attr\",begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE],illegal:\"\\\\n\"},e.inherit(i,{begin:/:/})].concat(n),illegal:\"\\\\S\"},s={begin:\"\\\\[\",end:\"\\\\]\",contains:[e.inherit(i)],illegal:\"\\\\S\"};return a.push(o,s),n.forEach(function(l){a.push(l)}),{name:\"JSON\",contains:a,keywords:r,illegal:\"\\\\S\"}}return ia=t,ia}var oa,cs;function z_(){if(cs)return oa;cs=1;function t(e){var r=\"[A-Za-z_\\\\u00A1-\\\\uFFFF][A-Za-z_0-9\\\\u00A1-\\\\uFFFF]*\",n=[\"baremodule\",\"begin\",\"break\",\"catch\",\"ccall\",\"const\",\"continue\",\"do\",\"else\",\"elseif\",\"end\",\"export\",\"false\",\"finally\",\"for\",\"function\",\"global\",\"if\",\"import\",\"in\",\"isa\",\"let\",\"local\",\"macro\",\"module\",\"quote\",\"return\",\"true\",\"try\",\"using\",\"where\",\"while\"],a=[\"ARGS\",\"C_NULL\",\"DEPOT_PATH\",\"ENDIAN_BOM\",\"ENV\",\"Inf\",\"Inf16\",\"Inf32\",\"Inf64\",\"InsertionSort\",\"LOAD_PATH\",\"MergeSort\",\"NaN\",\"NaN16\",\"NaN32\",\"NaN64\",\"PROGRAM_FILE\",\"QuickSort\",\"RoundDown\",\"RoundFromZero\",\"RoundNearest\",\"RoundNearestTiesAway\",\"RoundNearestTiesUp\",\"RoundToZero\",\"RoundUp\",\"VERSION|0\",\"devnull\",\"false\",\"im\",\"missing\",\"nothing\",\"pi\",\"stderr\",\"stdin\",\"stdout\",\"true\",\"undef\",\"π\",\"ℯ\"],i=[\"AbstractArray\",\"AbstractChannel\",\"AbstractChar\",\"AbstractDict\",\"AbstractDisplay\",\"AbstractFloat\",\"AbstractIrrational\",\"AbstractMatrix\",\"AbstractRange\",\"AbstractSet\",\"AbstractString\",\"AbstractUnitRange\",\"AbstractVecOrMat\",\"AbstractVector\",\"Any\",\"ArgumentError\",\"Array\",\"AssertionError\",\"BigFloat\",\"BigInt\",\"BitArray\",\"BitMatrix\",\"BitSet\",\"BitVector\",\"Bool\",\"BoundsError\",\"CapturedException\",\"CartesianIndex\",\"CartesianIndices\",\"Cchar\",\"Cdouble\",\"Cfloat\",\"Channel\",\"Char\",\"Cint\",\"Cintmax_t\",\"Clong\",\"Clonglong\",\"Cmd\",\"Colon\",\"Complex\",\"ComplexF16\",\"ComplexF32\",\"ComplexF64\",\"CompositeException\",\"Condition\",\"Cptrdiff_t\",\"Cshort\",\"Csize_t\",\"Cssize_t\",\"Cstring\",\"Cuchar\",\"Cuint\",\"Cuintmax_t\",\"Culong\",\"Culonglong\",\"Cushort\",\"Cvoid\",\"Cwchar_t\",\"Cwstring\",\"DataType\",\"DenseArray\",\"DenseMatrix\",\"DenseVecOrMat\",\"DenseVector\",\"Dict\",\"DimensionMismatch\",\"Dims\",\"DivideError\",\"DomainError\",\"EOFError\",\"Enum\",\"ErrorException\",\"Exception\",\"ExponentialBackOff\",\"Expr\",\"Float16\",\"Float32\",\"Float64\",\"Function\",\"GlobalRef\",\"HTML\",\"IO\",\"IOBuffer\",\"IOContext\",\"IOStream\",\"IdDict\",\"IndexCartesian\",\"IndexLinear\",\"IndexStyle\",\"InexactError\",\"InitError\",\"Int\",\"Int128\",\"Int16\",\"Int32\",\"Int64\",\"Int8\",\"Integer\",\"InterruptException\",\"InvalidStateException\",\"Irrational\",\"KeyError\",\"LinRange\",\"LineNumberNode\",\"LinearIndices\",\"LoadError\",\"MIME\",\"Matrix\",\"Method\",\"MethodError\",\"Missing\",\"MissingException\",\"Module\",\"NTuple\",\"NamedTuple\",\"Nothing\",\"Number\",\"OrdinalRange\",\"OutOfMemoryError\",\"OverflowError\",\"Pair\",\"PartialQuickSort\",\"PermutedDimsArray\",\"Pipe\",\"ProcessFailedException\",\"Ptr\",\"QuoteNode\",\"Rational\",\"RawFD\",\"ReadOnlyMemoryError\",\"Real\",\"ReentrantLock\",\"Ref\",\"Regex\",\"RegexMatch\",\"RoundingMode\",\"SegmentationFault\",\"Set\",\"Signed\",\"Some\",\"StackOverflowError\",\"StepRange\",\"StepRangeLen\",\"StridedArray\",\"StridedMatrix\",\"StridedVecOrMat\",\"StridedVector\",\"String\",\"StringIndexError\",\"SubArray\",\"SubString\",\"SubstitutionString\",\"Symbol\",\"SystemError\",\"Task\",\"TaskFailedException\",\"Text\",\"TextDisplay\",\"Timer\",\"Tuple\",\"Type\",\"TypeError\",\"TypeVar\",\"UInt\",\"UInt128\",\"UInt16\",\"UInt32\",\"UInt64\",\"UInt8\",\"UndefInitializer\",\"UndefKeywordError\",\"UndefRefError\",\"UndefVarError\",\"Union\",\"UnionAll\",\"UnitRange\",\"Unsigned\",\"Val\",\"Vararg\",\"VecElement\",\"VecOrMat\",\"Vector\",\"VersionNumber\",\"WeakKeyDict\",\"WeakRef\"],o={$pattern:r,keyword:n,literal:a,built_in:i},s={keywords:o,illegal:/<\\//},l={className:\"number\",begin:/(\\b0x[\\d_]*(\\.[\\d_]*)?|0x\\.\\d[\\d_]*)p[-+]?\\d+|\\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\\b\\d[\\d_]*(\\.[\\d_]*)?|\\.\\d[\\d_]*)([eEfF][-+]?\\d+)?/,relevance:0},_={className:\"string\",begin:/'(.|\\\\[xXuU][a-zA-Z0-9]+)'/},c={className:\"subst\",begin:/\\$\\(/,end:/\\)/,keywords:o},E={className:\"variable\",begin:\"\\\\$\"+r},d={className:\"string\",contains:[e.BACKSLASH_ESCAPE,c,E],variants:[{begin:/\\w*\"\"\"/,end:/\"\"\"\\w*/,relevance:10},{begin:/\\w*\"/,end:/\"\\w*/}]},u={className:\"string\",contains:[e.BACKSLASH_ESCAPE,c,E],begin:\"`\",end:\"`\"},p={className:\"meta\",begin:\"@\"+r},T={className:\"comment\",variants:[{begin:\"#=\",end:\"=#\",relevance:10},{begin:\"#\",end:\"$\"}]};return s.name=\"Julia\",s.contains=[l,_,d,u,p,T,e.HASH_COMMENT_MODE,{className:\"keyword\",begin:\"\\\\b(((abstract|primitive)\\\\s+)type|(mutable\\\\s+)?struct)\\\\b\"},{begin:/<:/}],c.contains=s.contains,s}return oa=t,oa}var sa,_s;function K_(){if(_s)return sa;_s=1;function t(e){return{name:\"Julia REPL\",contains:[{className:\"meta\",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:\"julia\"},aliases:[\"jldoctest\"]}]}}return sa=t,sa}var la,ds;function $_(){if(ds)return la;ds=1;var t=\"[0-9](_*[0-9])*\",e=`\\\\.(${t})`,r=\"[0-9a-fA-F](_*[0-9a-fA-F])*\",n={className:\"number\",variants:[{begin:`(\\\\b(${t})((${e})|\\\\.)?|(${e}))[eE][+-]?(${t})[fFdD]?\\\\b`},{begin:`\\\\b(${t})((${e})[fFdD]?\\\\b|\\\\.([fFdD]\\\\b)?)`},{begin:`(${e})[fFdD]?\\\\b`},{begin:`\\\\b(${t})[fFdD]\\\\b`},{begin:`\\\\b0[xX]((${r})\\\\.?|(${r})?\\\\.(${r}))[pP][+-]?(${t})[fFdD]?\\\\b`},{begin:\"\\\\b(0|[1-9](_*[0-9])*)[lL]?\\\\b\"},{begin:`\\\\b0[xX](${r})[lL]?\\\\b`},{begin:\"\\\\b0(_*[0-7])*[lL]?\\\\b\"},{begin:\"\\\\b0[bB][01](_*[01])*[lL]?\\\\b\"}],relevance:0};function a(i){const o={keyword:\"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual\",built_in:\"Byte Short Char Int Long Boolean Float Double Void Unit Nothing\",literal:\"true false null\"},s={className:\"keyword\",begin:/\\b(break|continue|return|this)\\b/,starts:{contains:[{className:\"symbol\",begin:/@\\w+/}]}},l={className:\"symbol\",begin:i.UNDERSCORE_IDENT_RE+\"@\"},_={className:\"subst\",begin:/\\$\\{/,end:/\\}/,contains:[i.C_NUMBER_MODE]},c={className:\"variable\",begin:\"\\\\$\"+i.UNDERSCORE_IDENT_RE},E={className:\"string\",variants:[{begin:'\"\"\"',end:'\"\"\"(?=[^\"])',contains:[c,_]},{begin:\"'\",end:\"'\",illegal:/\\n/,contains:[i.BACKSLASH_ESCAPE]},{begin:'\"',end:'\"',illegal:/\\n/,contains:[i.BACKSLASH_ESCAPE,c,_]}]};_.contains.push(E);const d={className:\"meta\",begin:\"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\\\s*:(?:\\\\s*\"+i.UNDERSCORE_IDENT_RE+\")?\"},u={className:\"meta\",begin:\"@\"+i.UNDERSCORE_IDENT_RE,contains:[{begin:/\\(/,end:/\\)/,contains:[i.inherit(E,{className:\"meta-string\"})]}]},p=n,T=i.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[i.C_BLOCK_COMMENT_MODE]}),g={variants:[{className:\"type\",begin:i.UNDERSCORE_IDENT_RE},{begin:/\\(/,end:/\\)/,contains:[]}]},S=g;return S.variants[1].contains=[g],g.variants[1].contains=[S],{name:\"Kotlin\",aliases:[\"kt\",\"kts\"],keywords:o,contains:[i.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),i.C_LINE_COMMENT_MODE,T,s,l,d,u,{className:\"function\",beginKeywords:\"fun\",end:\"[(]|$\",returnBegin:!0,excludeEnd:!0,keywords:o,relevance:5,contains:[{begin:i.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[i.UNDERSCORE_TITLE_MODE]},{className:\"type\",begin://,keywords:\"reified\",relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,endsParent:!0,keywords:o,relevance:0,contains:[{begin:/:/,end:/[=,\\/]/,endsWithParent:!0,contains:[g,i.C_LINE_COMMENT_MODE,T],relevance:0},i.C_LINE_COMMENT_MODE,T,d,u,E,i.C_NUMBER_MODE]},T]},{className:\"class\",beginKeywords:\"class interface trait\",end:/[:\\{(]|$/,excludeEnd:!0,illegal:\"extends implements\",contains:[{beginKeywords:\"public protected internal private constructor\"},i.UNDERSCORE_TITLE_MODE,{className:\"type\",begin://,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:/[,:]\\s*/,end:/[<\\(,]|$/,excludeBegin:!0,returnEnd:!0},d,u]},E,{className:\"meta\",begin:\"^#!/usr/bin/env\",end:\"$\",illegal:`\n`},p]}}return la=a,la}var ca,us;function Q_(){if(us)return ca;us=1;function t(e){const r=\"[a-zA-Z_][\\\\w.]*\",n=\"<\\\\?(lasso(script)?|=)\",a=\"\\\\]|\\\\?>\",i={$pattern:r+\"|&[lg]t;\",literal:\"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft\",built_in:\"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock\",keyword:\"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome\"},o=e.COMMENT(\"\",{relevance:0}),s={className:\"meta\",begin:\"\\\\[noprocess\\\\]\",starts:{end:\"\\\\[/noprocess\\\\]\",returnEnd:!0,contains:[o]}},l={className:\"meta\",begin:\"\\\\[/noprocess|\"+n},_={className:\"symbol\",begin:\"'\"+r+\"'\"},c=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+\"|(-?infinity|NaN)\\\\b\"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"string\",begin:\"`\",end:\"`\"},{variants:[{begin:\"[#$]\"+r},{begin:\"#\",end:\"\\\\d+\",illegal:\"\\\\W\"}]},{className:\"type\",begin:\"::\\\\s*\",end:r,illegal:\"\\\\W\"},{className:\"params\",variants:[{begin:\"-(?!infinity)\"+r,relevance:0},{begin:\"(\\\\.\\\\.\\\\.)\"}]},{begin:/(->|\\.)\\s*/,relevance:0,contains:[_]},{className:\"class\",beginKeywords:\"define\",returnEnd:!0,end:\"\\\\(|=>\",contains:[e.inherit(e.TITLE_MODE,{begin:r+\"(=(?!>))?|[-+*/%](?!>)\"})]}];return{name:\"Lasso\",aliases:[\"ls\",\"lassoscript\"],case_insensitive:!0,keywords:i,contains:[{className:\"meta\",begin:a,relevance:0,starts:{end:\"\\\\[|\"+n,returnEnd:!0,relevance:0,contains:[o]}},s,l,{className:\"meta\",begin:\"\\\\[no_square_brackets\",starts:{end:\"\\\\[/no_square_brackets\\\\]\",keywords:i,contains:[{className:\"meta\",begin:a,relevance:0,starts:{end:\"\\\\[noprocess\\\\]|\"+n,returnEnd:!0,contains:[o]}},s,l].concat(c)}},{className:\"meta\",begin:\"\\\\[\",relevance:0},{className:\"meta\",begin:\"^#!\",end:\"lasso9$\",relevance:10}].concat(c)}}return ca=t,ca}var _a,ms;function X_(){if(ms)return _a;ms=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return\"(\"+n.map(i=>t(i)).join(\"|\")+\")\"}function r(n){const a=e(...[\"(?:NeedsTeXFormat|RequirePackage|GetIdInfo)\",\"Provides(?:Expl)?(?:Package|Class|File)\",\"(?:DeclareOption|ProcessOptions)\",\"(?:documentclass|usepackage|input|include)\",\"makeat(?:letter|other)\",\"ExplSyntax(?:On|Off)\",\"(?:new|renew|provide)?command\",\"(?:re)newenvironment\",\"(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand\",\"(?:New|Renew|Provide|Declare)DocumentEnvironment\",\"(?:(?:e|g|x)?def|let)\",\"(?:begin|end)\",\"(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)\",\"caption\",\"(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)\",\"(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)\",\"(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)\",\"(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)\",\"(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)\",\"(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)\"].map(k=>k+\"(?![a-zA-Z@:_])\")),i=new RegExp([\"(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*\",\"[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}\",\"[qs]__?[a-zA-Z](?:_?[a-zA-Z])+\",\"use(?:_i)?:[a-zA-Z]*\",\"(?:else|fi|or):\",\"(?:if|cs|exp):w\",\"(?:hbox|vbox):n\",\"::[a-zA-Z]_unbraced\",\"::[a-zA-Z:]\"].map(k=>k+\"(?![a-zA-Z:_])\").join(\"|\")),o=[{begin:/[a-zA-Z@]+/},{begin:/[^a-zA-Z@]?/}],s=[{begin:/\\^{6}[0-9a-f]{6}/},{begin:/\\^{5}[0-9a-f]{5}/},{begin:/\\^{4}[0-9a-f]{4}/},{begin:/\\^{3}[0-9a-f]{3}/},{begin:/\\^{2}[0-9a-f]{2}/},{begin:/\\^{2}[\\u0000-\\u007f]/}],l={className:\"keyword\",begin:/\\\\/,relevance:0,contains:[{endsParent:!0,begin:a},{endsParent:!0,begin:i},{endsParent:!0,variants:s},{endsParent:!0,relevance:0,variants:o}]},_={className:\"params\",relevance:0,begin:/#+\\d?/},c={variants:s},E={className:\"built_in\",relevance:0,begin:/[$&^_]/},d={className:\"meta\",begin:\"% !TeX\",end:\"$\",relevance:10},u=n.COMMENT(\"%\",\"$\",{relevance:0}),p=[l,_,c,E,d,u],T={begin:/\\{/,end:/\\}/,relevance:0,contains:[\"self\",...p]},g=n.inherit(T,{relevance:0,endsParent:!0,contains:[T,...p]}),S={begin:/\\[/,end:/\\]/,endsParent:!0,relevance:0,contains:[T,...p]},b={begin:/\\s+/,relevance:0},f=[g],C=[S],y=function(k,Q){return{contains:[b],starts:{relevance:0,contains:k,starts:Q}}},A=function(k,Q){return{begin:\"\\\\\\\\\"+k+\"(?![a-zA-Z@:_])\",keywords:{$pattern:/\\\\[a-zA-Z]+/,keyword:\"\\\\\"+k},relevance:0,contains:[b],starts:Q}},O=function(k,Q){return n.inherit({begin:\"\\\\\\\\begin(?=[ \t]*(\\\\r?\\\\n[ \t]*)?\\\\{\"+k+\"\\\\})\",keywords:{$pattern:/\\\\[a-zA-Z]+/,keyword:\"\\\\begin\"},relevance:0},y(f,Q))},P=(k=\"string\")=>n.END_SAME_AS_BEGIN({className:k,begin:/(.|\\r?\\n)/,end:/(.|\\r?\\n)/,excludeBegin:!0,excludeEnd:!0,endsParent:!0}),w=function(k){return{className:\"string\",end:\"(?=\\\\\\\\end\\\\{\"+k+\"\\\\})\"}},F=(k=\"string\")=>({relevance:0,begin:/\\{/,starts:{endsParent:!0,contains:[{className:k,end:/(?=\\})/,endsParent:!0,contains:[{begin:/\\{/,end:/\\}/,relevance:0,contains:[\"self\"]}]}]}}),z=[...[\"verb\",\"lstinline\"].map(k=>A(k,{contains:[P()]})),A(\"mint\",y(f,{contains:[P()]})),A(\"mintinline\",y(f,{contains:[F(),P()]})),A(\"url\",{contains:[F(\"link\"),F(\"link\")]}),A(\"hyperref\",{contains:[F(\"link\")]}),A(\"href\",y(C,{contains:[F(\"link\")]})),...[].concat(...[\"\",\"\\\\*\"].map(k=>[O(\"verbatim\"+k,w(\"verbatim\"+k)),O(\"filecontents\"+k,y(f,w(\"filecontents\"+k))),...[\"\",\"B\",\"L\"].map(Q=>O(Q+\"Verbatim\"+k,y(C,w(Q+\"Verbatim\"+k))))])),O(\"minted\",y(C,y(f,w(\"minted\"))))];return{name:\"LaTeX\",aliases:[\"tex\"],contains:[...z,...p]}}return _a=r,_a}var da,Es;function Z_(){if(Es)return da;Es=1;function t(e){return{name:\"LDIF\",contains:[{className:\"attribute\",begin:\"^dn\",end:\": \",excludeEnd:!0,starts:{end:\"$\",relevance:0},relevance:10},{className:\"attribute\",begin:\"^\\\\w\",end:\": \",excludeEnd:!0,starts:{end:\"$\",relevance:0}},{className:\"literal\",begin:\"^-\",end:\"$\"},e.HASH_COMMENT_MODE]}}return da=t,da}var ua,ps;function J_(){if(ps)return ua;ps=1;function t(e){return{name:\"Leaf\",contains:[{className:\"function\",begin:\"#+[A-Za-z_0-9]*\\\\(\",end:/ \\{/,returnBegin:!0,excludeEnd:!0,contains:[{className:\"keyword\",begin:\"#+\"},{className:\"title\",begin:\"[A-Za-z_][A-Za-z_0-9]*\"},{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,contains:[{className:\"string\",begin:'\"',end:'\"'},{className:\"variable\",begin:\"[A-Za-z_][A-Za-z_0-9]*\"}]}]}]}}return ua=t,ua}var ma,gs;function j_(){if(gs)return ma;gs=1;const t=l=>({IMPORTANT:{className:\"meta\",begin:\"!important\"},HEXCOLOR:{className:\"number\",begin:\"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})\"},ATTRIBUTE_SELECTOR_MODE:{className:\"selector-attr\",begin:/\\[/,end:/\\]/,illegal:\"$\",contains:[l.APOS_STRING_MODE,l.QUOTE_STRING_MODE]}}),e=[\"a\",\"abbr\",\"address\",\"article\",\"aside\",\"audio\",\"b\",\"blockquote\",\"body\",\"button\",\"canvas\",\"caption\",\"cite\",\"code\",\"dd\",\"del\",\"details\",\"dfn\",\"div\",\"dl\",\"dt\",\"em\",\"fieldset\",\"figcaption\",\"figure\",\"footer\",\"form\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"header\",\"hgroup\",\"html\",\"i\",\"iframe\",\"img\",\"input\",\"ins\",\"kbd\",\"label\",\"legend\",\"li\",\"main\",\"mark\",\"menu\",\"nav\",\"object\",\"ol\",\"p\",\"q\",\"quote\",\"samp\",\"section\",\"span\",\"strong\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"textarea\",\"tfoot\",\"th\",\"thead\",\"time\",\"tr\",\"ul\",\"var\",\"video\"],r=[\"any-hover\",\"any-pointer\",\"aspect-ratio\",\"color\",\"color-gamut\",\"color-index\",\"device-aspect-ratio\",\"device-height\",\"device-width\",\"display-mode\",\"forced-colors\",\"grid\",\"height\",\"hover\",\"inverted-colors\",\"monochrome\",\"orientation\",\"overflow-block\",\"overflow-inline\",\"pointer\",\"prefers-color-scheme\",\"prefers-contrast\",\"prefers-reduced-motion\",\"prefers-reduced-transparency\",\"resolution\",\"scan\",\"scripting\",\"update\",\"width\",\"min-width\",\"max-width\",\"min-height\",\"max-height\"],n=[\"active\",\"any-link\",\"blank\",\"checked\",\"current\",\"default\",\"defined\",\"dir\",\"disabled\",\"drop\",\"empty\",\"enabled\",\"first\",\"first-child\",\"first-of-type\",\"fullscreen\",\"future\",\"focus\",\"focus-visible\",\"focus-within\",\"has\",\"host\",\"host-context\",\"hover\",\"indeterminate\",\"in-range\",\"invalid\",\"is\",\"lang\",\"last-child\",\"last-of-type\",\"left\",\"link\",\"local-link\",\"not\",\"nth-child\",\"nth-col\",\"nth-last-child\",\"nth-last-col\",\"nth-last-of-type\",\"nth-of-type\",\"only-child\",\"only-of-type\",\"optional\",\"out-of-range\",\"past\",\"placeholder-shown\",\"read-only\",\"read-write\",\"required\",\"right\",\"root\",\"scope\",\"target\",\"target-within\",\"user-invalid\",\"valid\",\"visited\",\"where\"],a=[\"after\",\"backdrop\",\"before\",\"cue\",\"cue-region\",\"first-letter\",\"first-line\",\"grammar-error\",\"marker\",\"part\",\"placeholder\",\"selection\",\"slotted\",\"spelling-error\"],i=[\"align-content\",\"align-items\",\"align-self\",\"animation\",\"animation-delay\",\"animation-direction\",\"animation-duration\",\"animation-fill-mode\",\"animation-iteration-count\",\"animation-name\",\"animation-play-state\",\"animation-timing-function\",\"auto\",\"backface-visibility\",\"background\",\"background-attachment\",\"background-clip\",\"background-color\",\"background-image\",\"background-origin\",\"background-position\",\"background-repeat\",\"background-size\",\"border\",\"border-bottom\",\"border-bottom-color\",\"border-bottom-left-radius\",\"border-bottom-right-radius\",\"border-bottom-style\",\"border-bottom-width\",\"border-collapse\",\"border-color\",\"border-image\",\"border-image-outset\",\"border-image-repeat\",\"border-image-slice\",\"border-image-source\",\"border-image-width\",\"border-left\",\"border-left-color\",\"border-left-style\",\"border-left-width\",\"border-radius\",\"border-right\",\"border-right-color\",\"border-right-style\",\"border-right-width\",\"border-spacing\",\"border-style\",\"border-top\",\"border-top-color\",\"border-top-left-radius\",\"border-top-right-radius\",\"border-top-style\",\"border-top-width\",\"border-width\",\"bottom\",\"box-decoration-break\",\"box-shadow\",\"box-sizing\",\"break-after\",\"break-before\",\"break-inside\",\"caption-side\",\"clear\",\"clip\",\"clip-path\",\"color\",\"column-count\",\"column-fill\",\"column-gap\",\"column-rule\",\"column-rule-color\",\"column-rule-style\",\"column-rule-width\",\"column-span\",\"column-width\",\"columns\",\"content\",\"counter-increment\",\"counter-reset\",\"cursor\",\"direction\",\"display\",\"empty-cells\",\"filter\",\"flex\",\"flex-basis\",\"flex-direction\",\"flex-flow\",\"flex-grow\",\"flex-shrink\",\"flex-wrap\",\"float\",\"font\",\"font-display\",\"font-family\",\"font-feature-settings\",\"font-kerning\",\"font-language-override\",\"font-size\",\"font-size-adjust\",\"font-smoothing\",\"font-stretch\",\"font-style\",\"font-variant\",\"font-variant-ligatures\",\"font-variation-settings\",\"font-weight\",\"height\",\"hyphens\",\"icon\",\"image-orientation\",\"image-rendering\",\"image-resolution\",\"ime-mode\",\"inherit\",\"initial\",\"justify-content\",\"left\",\"letter-spacing\",\"line-height\",\"list-style\",\"list-style-image\",\"list-style-position\",\"list-style-type\",\"margin\",\"margin-bottom\",\"margin-left\",\"margin-right\",\"margin-top\",\"marks\",\"mask\",\"max-height\",\"max-width\",\"min-height\",\"min-width\",\"nav-down\",\"nav-index\",\"nav-left\",\"nav-right\",\"nav-up\",\"none\",\"normal\",\"object-fit\",\"object-position\",\"opacity\",\"order\",\"orphans\",\"outline\",\"outline-color\",\"outline-offset\",\"outline-style\",\"outline-width\",\"overflow\",\"overflow-wrap\",\"overflow-x\",\"overflow-y\",\"padding\",\"padding-bottom\",\"padding-left\",\"padding-right\",\"padding-top\",\"page-break-after\",\"page-break-before\",\"page-break-inside\",\"perspective\",\"perspective-origin\",\"pointer-events\",\"position\",\"quotes\",\"resize\",\"right\",\"src\",\"tab-size\",\"table-layout\",\"text-align\",\"text-align-last\",\"text-decoration\",\"text-decoration-color\",\"text-decoration-line\",\"text-decoration-style\",\"text-indent\",\"text-overflow\",\"text-rendering\",\"text-shadow\",\"text-transform\",\"text-underline-position\",\"top\",\"transform\",\"transform-origin\",\"transform-style\",\"transition\",\"transition-delay\",\"transition-duration\",\"transition-property\",\"transition-timing-function\",\"unicode-bidi\",\"vertical-align\",\"visibility\",\"white-space\",\"widows\",\"width\",\"word-break\",\"word-spacing\",\"word-wrap\",\"z-index\"].reverse(),o=n.concat(a);function s(l){const _=t(l),c=o,E=\"and or not only\",d=\"[\\\\w-]+\",u=\"(\"+d+\"|@\\\\{\"+d+\"\\\\})\",p=[],T=[],g=function(z){return{className:\"string\",begin:\"~?\"+z+\".*?\"+z}},S=function(z,k,Q){return{className:z,begin:k,relevance:Q}},b={$pattern:/[a-z-]+/,keyword:E,attribute:r.join(\" \")},f={begin:\"\\\\(\",end:\"\\\\)\",contains:T,keywords:b,relevance:0};T.push(l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,g(\"'\"),g('\"'),l.CSS_NUMBER_MODE,{begin:\"(url|data-uri)\\\\(\",starts:{className:\"string\",end:\"[\\\\)\\\\n]\",excludeEnd:!0}},_.HEXCOLOR,f,S(\"variable\",\"@@?\"+d,10),S(\"variable\",\"@\\\\{\"+d+\"\\\\}\"),S(\"built_in\",\"~?`[^`]*?`\"),{className:\"attribute\",begin:d+\"\\\\s*:\",end:\":\",returnBegin:!0,excludeEnd:!0},_.IMPORTANT);const C=T.concat({begin:/\\{/,end:/\\}/,contains:p}),y={beginKeywords:\"when\",endsWithParent:!0,contains:[{beginKeywords:\"and not\"}].concat(T)},A={begin:u+\"\\\\s*:\",returnBegin:!0,end:/[;}]/,relevance:0,contains:[{begin:/-(webkit|moz|ms|o)-/},{className:\"attribute\",begin:\"\\\\b(\"+i.join(\"|\")+\")\\\\b\",end:/(?=:)/,starts:{endsWithParent:!0,illegal:\"[<=$]\",relevance:0,contains:T}}]},O={className:\"keyword\",begin:\"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\\\b\",starts:{end:\"[;{}]\",keywords:b,returnEnd:!0,contains:T,relevance:0}},P={className:\"variable\",variants:[{begin:\"@\"+d+\"\\\\s*:\",relevance:15},{begin:\"@\"+d}],starts:{end:\"[;}]\",returnEnd:!0,contains:C}},w={variants:[{begin:\"[\\\\.#:&\\\\[>]\",end:\"[;{}]\"},{begin:u,end:/\\{/}],returnBegin:!0,returnEnd:!0,illegal:`[<='$\"]`,relevance:0,contains:[l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,y,S(\"keyword\",\"all\\\\b\"),S(\"variable\",\"@\\\\{\"+d+\"\\\\}\"),{begin:\"\\\\b(\"+e.join(\"|\")+\")\\\\b\",className:\"selector-tag\"},S(\"selector-tag\",u+\"%?\",0),S(\"selector-id\",\"#\"+u),S(\"selector-class\",\"\\\\.\"+u,0),S(\"selector-tag\",\"&\",0),_.ATTRIBUTE_SELECTOR_MODE,{className:\"selector-pseudo\",begin:\":(\"+n.join(\"|\")+\")\"},{className:\"selector-pseudo\",begin:\"::(\"+a.join(\"|\")+\")\"},{begin:\"\\\\(\",end:\"\\\\)\",contains:C},{begin:\"!important\"}]},F={begin:d+`:(:)?(${c.join(\"|\")})`,returnBegin:!0,contains:[w]};return p.push(l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,O,P,F,A,w),{name:\"Less\",case_insensitive:!0,illegal:`[=>'/<($\"]`,contains:p}}return ma=s,ma}var Ea,Ss;function ed(){if(Ss)return Ea;Ss=1;function t(e){var r=\"[a-zA-Z_\\\\-+\\\\*\\\\/<=>&#][a-zA-Z0-9_\\\\-+*\\\\/<=>&#!]*\",n=\"\\\\|[^]*?\\\\|\",a=\"(-|\\\\+)?\\\\d+(\\\\.\\\\d+|\\\\/\\\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\\\+|-)?\\\\d+)?\",i={className:\"literal\",begin:\"\\\\b(t{1}|nil)\\\\b\"},o={className:\"number\",variants:[{begin:a,relevance:0},{begin:\"#(b|B)[0-1]+(/[0-1]+)?\"},{begin:\"#(o|O)[0-7]+(/[0-7]+)?\"},{begin:\"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?\"},{begin:\"#(c|C)\\\\(\"+a+\" +\"+a,end:\"\\\\)\"}]},s=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),l=e.COMMENT(\";\",\"$\",{relevance:0}),_={begin:\"\\\\*\",end:\"\\\\*\"},c={className:\"symbol\",begin:\"[:&]\"+r},E={begin:r,relevance:0},d={begin:n},u={begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",i,s,o,E]},p={contains:[o,s,_,c,u,E],variants:[{begin:\"['`]\\\\(\",end:\"\\\\)\"},{begin:\"\\\\(quote \",end:\"\\\\)\",keywords:{name:\"quote\"}},{begin:\"'\"+n}]},T={variants:[{begin:\"'\"+r},{begin:\"#'\"+r+\"(::\"+r+\")*\"}]},g={begin:\"\\\\(\\\\s*\",end:\"\\\\)\"},S={endsWithParent:!0,relevance:0};return g.contains=[{className:\"name\",variants:[{begin:r,relevance:0},{begin:n}]},S],S.contains=[p,T,g,i,o,s,l,_,c,d,E],{name:\"Lisp\",illegal:/\\S/,contains:[o,e.SHEBANG(),i,s,l,p,T,g,E]}}return Ea=t,Ea}var pa,Ts;function td(){if(Ts)return pa;Ts=1;function t(e){const r={className:\"variable\",variants:[{begin:\"\\\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\\\[.+\\\\])?(?:\\\\s*?)\"},{begin:\"\\\\$_[A-Z]+\"}],relevance:0},n=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT(\"--\",\"$\"),e.COMMENT(\"[^:]//\",\"$\")],a=e.inherit(e.TITLE_MODE,{variants:[{begin:\"\\\\b_*rig[A-Z][A-Za-z0-9_\\\\-]*\"},{begin:\"\\\\b_[a-z0-9\\\\-]+\"}]}),i=e.inherit(e.TITLE_MODE,{begin:\"\\\\b([A-Za-z0-9_\\\\-]+)\\\\b\"});return{name:\"LiveCode\",case_insensitive:!1,keywords:{keyword:\"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys\",literal:\"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK\",built_in:\"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write\"},contains:[r,{className:\"keyword\",begin:\"\\\\bend\\\\sif\\\\b\"},{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[r,i,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:\"function\",begin:\"\\\\bend\\\\s+\",end:\"$\",keywords:\"end\",contains:[i,a],relevance:0},{beginKeywords:\"command on\",end:\"$\",contains:[r,i,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a]},{className:\"meta\",variants:[{begin:\"<\\\\?(rev|lc|livecode)\",relevance:10},{begin:\"<\\\\?\"},{begin:\"\\\\?>\"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,a].concat(n),illegal:\";$|^\\\\[|^=|&|\\\\{\"}}return pa=t,pa}var ga,bs;function nd(){if(bs)return ga;bs=1;const t=[\"as\",\"in\",\"of\",\"if\",\"for\",\"while\",\"finally\",\"var\",\"new\",\"function\",\"do\",\"return\",\"void\",\"else\",\"break\",\"catch\",\"instanceof\",\"with\",\"throw\",\"case\",\"default\",\"try\",\"switch\",\"continue\",\"typeof\",\"delete\",\"let\",\"yield\",\"const\",\"class\",\"debugger\",\"async\",\"await\",\"static\",\"import\",\"from\",\"export\",\"extends\"],e=[\"true\",\"false\",\"null\",\"undefined\",\"NaN\",\"Infinity\"],r=[\"Intl\",\"DataView\",\"Number\",\"Math\",\"Date\",\"String\",\"RegExp\",\"Object\",\"Function\",\"Boolean\",\"Error\",\"Symbol\",\"Set\",\"Map\",\"WeakSet\",\"WeakMap\",\"Proxy\",\"Reflect\",\"JSON\",\"Promise\",\"Float64Array\",\"Int16Array\",\"Int32Array\",\"Int8Array\",\"Uint16Array\",\"Uint32Array\",\"Float32Array\",\"Array\",\"Uint8Array\",\"Uint8ClampedArray\",\"ArrayBuffer\",\"BigInt64Array\",\"BigUint64Array\",\"BigInt\"],n=[\"EvalError\",\"InternalError\",\"RangeError\",\"ReferenceError\",\"SyntaxError\",\"TypeError\",\"URIError\"],a=[\"setInterval\",\"setTimeout\",\"clearInterval\",\"clearTimeout\",\"require\",\"exports\",\"eval\",\"isFinite\",\"isNaN\",\"parseFloat\",\"parseInt\",\"decodeURI\",\"decodeURIComponent\",\"encodeURI\",\"encodeURIComponent\",\"escape\",\"unescape\"],i=[\"arguments\",\"this\",\"super\",\"console\",\"window\",\"document\",\"localStorage\",\"module\",\"global\"],o=[].concat(a,i,r,n);function s(l){const _=[\"npm\",\"print\"],c=[\"yes\",\"no\",\"on\",\"off\",\"it\",\"that\",\"void\"],E=[\"then\",\"unless\",\"until\",\"loop\",\"of\",\"by\",\"when\",\"and\",\"or\",\"is\",\"isnt\",\"not\",\"it\",\"that\",\"otherwise\",\"from\",\"to\",\"til\",\"fallthrough\",\"case\",\"enum\",\"native\",\"list\",\"map\",\"__hasProp\",\"__extends\",\"__slice\",\"__bind\",\"__indexOf\"],d={keyword:t.concat(E),literal:e.concat(c),built_in:o.concat(_)},u=\"[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*\",p=l.inherit(l.TITLE_MODE,{begin:u}),T={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:d},g={className:\"subst\",begin:/#[A-Za-z$_]/,end:/(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:d},S=[l.BINARY_NUMBER_MODE,{className:\"number\",begin:\"(\\\\b0[xX][a-fA-F0-9_]+)|(\\\\b\\\\d(\\\\d|_\\\\d)*(\\\\.(\\\\d(\\\\d|_\\\\d)*)?)?(_*[eE]([-+]\\\\d(_\\\\d|\\\\d)*)?)?[_a-z]*)\",relevance:0,starts:{end:\"(\\\\s*/)?\",relevance:0}},{className:\"string\",variants:[{begin:/'''/,end:/'''/,contains:[l.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[l.BACKSLASH_ESCAPE]},{begin:/\"\"\"/,end:/\"\"\"/,contains:[l.BACKSLASH_ESCAPE,T,g]},{begin:/\"/,end:/\"/,contains:[l.BACKSLASH_ESCAPE,T,g]},{begin:/\\\\/,end:/(\\s|$)/,excludeEnd:!0}]},{className:\"regexp\",variants:[{begin:\"//\",end:\"//[gim]*\",contains:[T,l.HASH_COMMENT_MODE]},{begin:/\\/(?![ *])(\\\\.|[^\\\\\\n])*?\\/[gim]*(?=\\W)/}]},{begin:\"@\"+u},{begin:\"``\",end:\"``\",excludeBegin:!0,excludeEnd:!0,subLanguage:\"javascript\"}];T.contains=S;const b={className:\"params\",begin:\"\\\\(\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:d,contains:[\"self\"].concat(S)}]},f={begin:\"(#=>|=>|\\\\|>>|-?->|!->)\"};return{name:\"LiveScript\",aliases:[\"ls\"],keywords:d,illegal:/\\/\\*/,contains:S.concat([l.COMMENT(\"\\\\/\\\\*\",\"\\\\*\\\\/\"),l.HASH_COMMENT_MODE,f,{className:\"function\",contains:[p,b],returnBegin:!0,variants:[{begin:\"(\"+u+\"\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\)\\\\s*)?\\\\B->\\\\*?\",end:\"->\\\\*?\"},{begin:\"(\"+u+\"\\\\s*(?:=|:=)\\\\s*)?!?(\\\\(.*\\\\)\\\\s*)?\\\\B[-~]{1,2}>\\\\*?\",end:\"[-~]{1,2}>\\\\*?\"},{begin:\"(\"+u+\"\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\)\\\\s*)?\\\\B!?[-~]{1,2}>\\\\*?\",end:\"!?[-~]{1,2}>\\\\*?\"}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[p]},p]},{begin:u+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}}return ga=s,ga}var Sa,Rs;function ad(){if(Rs)return Sa;Rs=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a=/([-a-zA-Z$._][\\w$.-]*)/,i={className:\"type\",begin:/\\bi\\d+(?=\\s|\\b)/},o={className:\"operator\",relevance:0,begin:/=/},s={className:\"punctuation\",relevance:0,begin:/,/},l={className:\"number\",variants:[{begin:/0[xX][a-fA-F0-9]+/},{begin:/-?\\d+(?:[.]\\d+)?(?:[eE][-+]?\\d+(?:[.]\\d+)?)?/}],relevance:0},_={className:\"symbol\",variants:[{begin:/^\\s*[a-z]+:/}],relevance:0},c={className:\"variable\",variants:[{begin:e(/%/,a)},{begin:/%\\d+/},{begin:/#\\d+/}]},E={className:\"title\",variants:[{begin:e(/@/,a)},{begin:/@\\d+/},{begin:e(/!/,a)},{begin:e(/!\\d+/,a)},{begin:/!\\d+/}]};return{name:\"LLVM IR\",keywords:\"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double\",contains:[i,n.COMMENT(/;\\s*$/,null,{relevance:0}),n.COMMENT(/;/,/$/),n.QUOTE_STRING_MODE,{className:\"string\",variants:[{begin:/\"/,end:/[^\\\\]\"/}]},E,s,o,c,_,l]}}return Sa=r,Sa}var Ta,fs;function rd(){if(fs)return Ta;fs=1;function t(e){var r={className:\"subst\",begin:/\\\\[tn\"\\\\]/},n={className:\"string\",begin:'\"',end:'\"',contains:[r]},a={className:\"number\",relevance:0,begin:e.C_NUMBER_RE},i={className:\"literal\",variants:[{begin:\"\\\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\\\b\"},{begin:\"\\\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\\\b\"},{begin:\"\\\\b(FALSE|TRUE)\\\\b\"},{begin:\"\\\\b(ZERO_ROTATION)\\\\b\"},{begin:\"\\\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\\\b\"},{begin:\"\\\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\\\b\"}]},o={className:\"built_in\",begin:\"\\\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\\\b\"};return{name:\"LSL (Linden Scripting Language)\",illegal:\":\",contains:[n,{className:\"comment\",variants:[e.COMMENT(\"//\",\"$\"),e.COMMENT(\"/\\\\*\",\"\\\\*/\")],relevance:0},a,{className:\"section\",variants:[{begin:\"\\\\b(state|default)\\\\b\"},{begin:\"\\\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\\\b\"}]},o,i,{className:\"type\",begin:\"\\\\b(integer|float|string|key|vector|quaternion|rotation|list)\\\\b\"}]}}return Ta=t,Ta}var ba,Ns;function id(){if(Ns)return ba;Ns=1;function t(e){const r=\"\\\\[=*\\\\[\",n=\"\\\\]=*\\\\]\",a={begin:r,end:n,contains:[\"self\"]},i=[e.COMMENT(\"--(?!\"+r+\")\",\"$\"),e.COMMENT(\"--\"+r,n,{contains:[a],relevance:10})];return{name:\"Lua\",keywords:{$pattern:e.UNDERSCORE_IDENT_RE,literal:\"true false nil\",keyword:\"and break do else elseif end for goto if in local not or repeat return then until while\",built_in:\"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove\"},contains:i.concat([{className:\"function\",beginKeywords:\"function\",end:\"\\\\)\",contains:[e.inherit(e.TITLE_MODE,{begin:\"([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*\"}),{className:\"params\",begin:\"\\\\(\",endsWithParent:!0,contains:i}].concat(i)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:r,end:n,contains:[a],relevance:5}])}}return ba=t,ba}var Ra,Cs;function od(){if(Cs)return Ra;Cs=1;function t(e){const r={className:\"variable\",variants:[{begin:\"\\\\$\\\\(\"+e.UNDERSCORE_IDENT_RE+\"\\\\)\",contains:[e.BACKSLASH_ESCAPE]},{begin:/\\$[@%e(l)).join(\"\")}function a(...o){return\"(\"+o.map(l=>e(l)).join(\"|\")+\")\"}function i(o){const s=/([2-9]|[1-2]\\d|[3][0-5])\\^\\^/,l=/(\\w*\\.\\w+|\\w+\\.\\w*|\\w+)/,_=/(\\d*\\.\\d+|\\d+\\.\\d*|\\d+)/,c=a(n(s,l),_),u=a(/``[+-]?(\\d*\\.\\d+|\\d+\\.\\d*|\\d+)/,/`([+-]?(\\d*\\.\\d+|\\d+\\.\\d*|\\d+))?/),p=/\\*\\^[+-]?\\d+/,g={className:\"number\",relevance:0,begin:n(c,r(u),r(p))},S=/[a-zA-Z$][a-zA-Z0-9$]*/,b=new Set(t),f={variants:[{className:\"builtin-symbol\",begin:S,\"on:begin\":(F,z)=>{b.has(F[0])||z.ignoreMatch()}},{className:\"symbol\",relevance:0,begin:S}]},C={className:\"named-character\",begin:/\\\\\\[[$a-zA-Z][$a-zA-Z0-9]+\\]/},y={className:\"operator\",relevance:0,begin:/[+\\-*/,;.:@~=><&|_`'^?!%]+/},A={className:\"pattern\",relevance:0,begin:/([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/},O={className:\"slot\",relevance:0,begin:/#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/},P={className:\"brace\",relevance:0,begin:/[[\\](){}]/},w={className:\"message-name\",relevance:0,begin:n(\"::\",S)};return{name:\"Mathematica\",aliases:[\"mma\",\"wl\"],classNameAliases:{brace:\"punctuation\",pattern:\"type\",slot:\"type\",symbol:\"variable\",\"named-character\":\"variable\",\"builtin-symbol\":\"built_in\",\"message-name\":\"string\"},contains:[o.COMMENT(/\\(\\*/,/\\*\\)/,{contains:[\"self\"]}),A,O,w,f,C,o.QUOTE_STRING_MODE,g,y,P]}}return fa=i,fa}var Na,Is;function ld(){if(Is)return Na;Is=1;function t(e){var r=\"('|\\\\.')+\",n={relevance:0,contains:[{begin:r}]};return{name:\"Matlab\",keywords:{keyword:\"arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while\",built_in:\"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell \"},illegal:'(//|\"|#|/\\\\*|\\\\s+/\\\\w+)',contains:[{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",variants:[{begin:\"\\\\(\",end:\"\\\\)\"},{begin:\"\\\\[\",end:\"\\\\]\"}]}]},{className:\"built_in\",begin:/true|false/,relevance:0,starts:n},{begin:\"[a-zA-Z][a-zA-Z_0-9]*\"+r,relevance:0},{className:\"number\",begin:e.C_NUMBER_RE,relevance:0,starts:n},{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE,{begin:\"''\"}]},{begin:/\\]|\\}|\\)/,relevance:0,starts:n},{className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE,{begin:'\"\"'}],starts:n},e.COMMENT(\"^\\\\s*%\\\\{\\\\s*$\",\"^\\\\s*%\\\\}\\\\s*$\"),e.COMMENT(\"%\",\"$\")]}}return Na=t,Na}var Ca,As;function cd(){if(As)return Ca;As=1;function t(e){return{name:\"Maxima\",keywords:{$pattern:\"[A-Za-z_%][0-9A-Za-z_%]*\",keyword:\"if then else elseif for thru do while unless step in and or not\",literal:\"true false unknown inf minf ind und %e %i %pi %phi %gamma\",built_in:\" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest\",symbol:\"_ __ %|0 %%|0\"},contains:[{className:\"comment\",begin:\"/\\\\*\",end:\"\\\\*/\",contains:[\"self\"]},e.QUOTE_STRING_MODE,{className:\"number\",relevance:0,variants:[{begin:\"\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Ee][-+]?\\\\d+\\\\b\"},{begin:\"\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Bb][-+]?\\\\d+\\\\b\",relevance:10},{begin:\"\\\\b(\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)\\\\b\"},{begin:\"\\\\b(\\\\d+|0[0-9A-Za-z]+)\\\\.?\\\\b\"}]}],illegal:/@/}}return Ca=t,Ca}var Oa,vs;function _d(){if(vs)return Oa;vs=1;function t(e){return{name:\"MEL\",keywords:\"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform\",illegal:\"\"},{begin:\"<=\",relevance:0},{begin:\"=>\",relevance:0},{begin:\"/\\\\\\\\\"},{begin:\"\\\\\\\\/\"}]},{className:\"built_in\",variants:[{begin:\":-\\\\|-->\"},{begin:\"=\",relevance:0}]},n,e.C_BLOCK_COMMENT_MODE,a,e.NUMBER_MODE,i,o,{begin:/:-/},{begin:/\\.$/}]}}return Ia=t,Ia}var Aa,Ds;function ud(){if(Ds)return Aa;Ds=1;function t(e){return{name:\"MIPS Assembly\",case_insensitive:!0,aliases:[\"mips\"],keywords:{$pattern:\"\\\\.?\"+e.IDENT_RE,meta:\".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg \",built_in:\"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt \"},contains:[{className:\"keyword\",begin:\"\\\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\\\.hb)?|jr(\\\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\\\.[sd]|add\\\\.[sd]|alnv.ps|bc1[ft]l?|c\\\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\\\.[sd]|(ceil|floor|round|trunc)\\\\.[lw]\\\\.[sd]|cfc1|cvt\\\\.d\\\\.[lsw]|cvt\\\\.l\\\\.[dsw]|cvt\\\\.ps\\\\.s|cvt\\\\.s\\\\.[dlw]|cvt\\\\.s\\\\.p[lu]|cvt\\\\.w\\\\.[dls]|div\\\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\\\.[sd]|mfc1|mov[fntz]?\\\\.[ds]|msub\\\\.[sd]|mth?c1|mul\\\\.[ds]|neg\\\\.[ds]|nmadd\\\\.[ds]|nmsub\\\\.[ds]|p[lu][lu]\\\\.ps|recip\\\\.fmt|r?sqrt\\\\.[ds]|sdx?c1|sub\\\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)\",end:\"\\\\s\"},e.COMMENT(\"[;#](?!\\\\s*$)\",\"$\"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",relevance:0},{className:\"title\",begin:\"\\\\|\",end:\"\\\\|\",illegal:\"\\\\n\",relevance:0},{className:\"number\",variants:[{begin:\"0x[0-9a-f]+\"},{begin:\"\\\\b-?\\\\d+\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:\"},{begin:\"^\\\\s*[0-9]+:\"},{begin:\"[0-9]+[bf]\"}],relevance:0}],illegal:/\\//}}return Aa=t,Aa}var va,hs;function md(){if(hs)return va;hs=1;function t(e){return{name:\"Mizar\",keywords:\"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity\",contains:[e.COMMENT(\"::\",\"$\")]}}return va=t,va}var ya,Ms;function Ed(){if(Ms)return ya;Ms=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i=[\"abs\",\"accept\",\"alarm\",\"and\",\"atan2\",\"bind\",\"binmode\",\"bless\",\"break\",\"caller\",\"chdir\",\"chmod\",\"chomp\",\"chop\",\"chown\",\"chr\",\"chroot\",\"close\",\"closedir\",\"connect\",\"continue\",\"cos\",\"crypt\",\"dbmclose\",\"dbmopen\",\"defined\",\"delete\",\"die\",\"do\",\"dump\",\"each\",\"else\",\"elsif\",\"endgrent\",\"endhostent\",\"endnetent\",\"endprotoent\",\"endpwent\",\"endservent\",\"eof\",\"eval\",\"exec\",\"exists\",\"exit\",\"exp\",\"fcntl\",\"fileno\",\"flock\",\"for\",\"foreach\",\"fork\",\"format\",\"formline\",\"getc\",\"getgrent\",\"getgrgid\",\"getgrnam\",\"gethostbyaddr\",\"gethostbyname\",\"gethostent\",\"getlogin\",\"getnetbyaddr\",\"getnetbyname\",\"getnetent\",\"getpeername\",\"getpgrp\",\"getpriority\",\"getprotobyname\",\"getprotobynumber\",\"getprotoent\",\"getpwent\",\"getpwnam\",\"getpwuid\",\"getservbyname\",\"getservbyport\",\"getservent\",\"getsockname\",\"getsockopt\",\"given\",\"glob\",\"gmtime\",\"goto\",\"grep\",\"gt\",\"hex\",\"if\",\"index\",\"int\",\"ioctl\",\"join\",\"keys\",\"kill\",\"last\",\"lc\",\"lcfirst\",\"length\",\"link\",\"listen\",\"local\",\"localtime\",\"log\",\"lstat\",\"lt\",\"ma\",\"map\",\"mkdir\",\"msgctl\",\"msgget\",\"msgrcv\",\"msgsnd\",\"my\",\"ne\",\"next\",\"no\",\"not\",\"oct\",\"open\",\"opendir\",\"or\",\"ord\",\"our\",\"pack\",\"package\",\"pipe\",\"pop\",\"pos\",\"print\",\"printf\",\"prototype\",\"push\",\"q|0\",\"qq\",\"quotemeta\",\"qw\",\"qx\",\"rand\",\"read\",\"readdir\",\"readline\",\"readlink\",\"readpipe\",\"recv\",\"redo\",\"ref\",\"rename\",\"require\",\"reset\",\"return\",\"reverse\",\"rewinddir\",\"rindex\",\"rmdir\",\"say\",\"scalar\",\"seek\",\"seekdir\",\"select\",\"semctl\",\"semget\",\"semop\",\"send\",\"setgrent\",\"sethostent\",\"setnetent\",\"setpgrp\",\"setpriority\",\"setprotoent\",\"setpwent\",\"setservent\",\"setsockopt\",\"shift\",\"shmctl\",\"shmget\",\"shmread\",\"shmwrite\",\"shutdown\",\"sin\",\"sleep\",\"socket\",\"socketpair\",\"sort\",\"splice\",\"split\",\"sprintf\",\"sqrt\",\"srand\",\"stat\",\"state\",\"study\",\"sub\",\"substr\",\"symlink\",\"syscall\",\"sysopen\",\"sysread\",\"sysseek\",\"system\",\"syswrite\",\"tell\",\"telldir\",\"tie\",\"tied\",\"time\",\"times\",\"tr\",\"truncate\",\"uc\",\"ucfirst\",\"umask\",\"undef\",\"unless\",\"unlink\",\"unpack\",\"unshift\",\"untie\",\"until\",\"use\",\"utime\",\"values\",\"vec\",\"wait\",\"waitpid\",\"wantarray\",\"warn\",\"when\",\"while\",\"write\",\"x|0\",\"xor\",\"y|0\"],o=/[dualxmsipngr]{0,12}/,s={$pattern:/[\\w.]+/,keyword:i.join(\" \")},l={className:\"subst\",begin:\"[$@]\\\\{\",end:\"\\\\}\",keywords:s},_={begin:/->\\{/,end:/\\}/},c={variants:[{begin:/\\$\\d/},{begin:e(/[$%@](\\^\\w\\b|#\\w+(::\\w+)*|\\{\\w+\\}|\\w+(::\\w*)*)/,\"(?![A-Za-z])(?![@$%])\")},{begin:/[$%@][^\\s\\w{]/,relevance:0}]},E=[a.BACKSLASH_ESCAPE,l,c],d=[/!/,/\\//,/\\|/,/\\?/,/'/,/\"/,/#/],u=(g,S,b=\"\\\\1\")=>{const f=b===\"\\\\1\"?b:e(b,S);return e(e(\"(?:\",g,\")\"),S,/(?:\\\\.|[^\\\\\\/])*?/,f,/(?:\\\\.|[^\\\\\\/])*?/,b,o)},p=(g,S,b)=>e(e(\"(?:\",g,\")\"),S,/(?:\\\\.|[^\\\\\\/])*?/,b,o),T=[c,a.HASH_COMMENT_MODE,a.COMMENT(/^=\\w/,/=cut/,{endsWithParent:!0}),_,{className:\"string\",contains:E,variants:[{begin:\"q[qwxr]?\\\\s*\\\\(\",end:\"\\\\)\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\[\",end:\"\\\\]\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\{\",end:\"\\\\}\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\|\",end:\"\\\\|\",relevance:5},{begin:\"q[qwxr]?\\\\s*<\",end:\">\",relevance:5},{begin:\"qw\\\\s+q\",end:\"q\",relevance:5},{begin:\"'\",end:\"'\",contains:[a.BACKSLASH_ESCAPE]},{begin:'\"',end:'\"'},{begin:\"`\",end:\"`\",contains:[a.BACKSLASH_ESCAPE]},{begin:/\\{\\w+\\}/,relevance:0},{begin:\"-?\\\\w+\\\\s*=>\",relevance:0}]},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{begin:\"(\\\\/\\\\/|\"+a.RE_STARTERS_RE+\"|\\\\b(split|return|print|reverse|grep)\\\\b)\\\\s*\",keywords:\"split return print reverse grep\",relevance:0,contains:[a.HASH_COMMENT_MODE,{className:\"regexp\",variants:[{begin:u(\"s|tr|y\",r(...d))},{begin:u(\"s|tr|y\",\"\\\\(\",\"\\\\)\")},{begin:u(\"s|tr|y\",\"\\\\[\",\"\\\\]\")},{begin:u(\"s|tr|y\",\"\\\\{\",\"\\\\}\")}],relevance:2},{className:\"regexp\",variants:[{begin:/(m|qr)\\/\\//,relevance:0},{begin:p(\"(?:m|qr)?\",/\\//,/\\//)},{begin:p(\"m|qr\",r(...d),/\\1/)},{begin:p(\"m|qr\",/\\(/,/\\)/)},{begin:p(\"m|qr\",/\\[/,/\\]/)},{begin:p(\"m|qr\",/\\{/,/\\}/)}]}]},{className:\"function\",beginKeywords:\"sub\",end:\"(\\\\s*\\\\(.*?\\\\))?[;{]\",excludeEnd:!0,relevance:5,contains:[a.TITLE_MODE]},{begin:\"-\\\\w\\\\b\",relevance:0},{begin:\"^__DATA__$\",end:\"^__END__$\",subLanguage:\"mojolicious\",contains:[{begin:\"^@@.*\",end:\"$\",className:\"comment\"}]}];return l.contains=T,_.contains=T,{name:\"Perl\",aliases:[\"pl\",\"pm\"],keywords:s,contains:T}}return ya=n,ya}var Da,Ls;function pd(){if(Ls)return Da;Ls=1;function t(e){return{name:\"Mojolicious\",subLanguage:\"xml\",contains:[{className:\"meta\",begin:\"^__(END|DATA)__$\"},{begin:\"^\\\\s*%{1,2}={0,2}\",end:\"$\",subLanguage:\"perl\"},{begin:\"<%{1,2}={0,2}\",end:\"={0,1}%>\",subLanguage:\"perl\",excludeBegin:!0,excludeEnd:!0}]}}return Da=t,Da}var ha,xs;function gd(){if(xs)return ha;xs=1;function t(e){const r={className:\"number\",relevance:0,variants:[{begin:\"[$][a-fA-F0-9]+\"},e.NUMBER_MODE]};return{name:\"Monkey\",case_insensitive:!0,keywords:{keyword:\"public private property continue exit extern new try catch eachin not abstract final select case default const local global field end if then else elseif endif while wend repeat until forever for to step next return module inline throw import\",built_in:\"DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI\",literal:\"true false null and or shl shr mod\"},illegal:/\\/\\*/,contains:[e.COMMENT(\"#rem\",\"#end\"),e.COMMENT(\"'\",\"$\",{relevance:0}),{className:\"function\",beginKeywords:\"function method\",end:\"[(=:]|$\",illegal:/\\n/,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"class\",beginKeywords:\"class interface\",end:\"$\",contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{className:\"built_in\",begin:\"\\\\b(self|super)\\\\b\"},{className:\"meta\",begin:\"\\\\s*#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elseif endif end then\"}},{className:\"meta\",begin:\"^\\\\s*strict\\\\b\"},{beginKeywords:\"alias\",end:\"=\",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,r]}}return ha=t,ha}var Ma,Ps;function Sd(){if(Ps)return Ma;Ps=1;function t(e){const r={keyword:\"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using\",literal:\"true false nil\",built_in:\"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table\"},n=\"[A-Za-z$_][0-9A-Za-z$_]*\",a={className:\"subst\",begin:/#\\{/,end:/\\}/,keywords:r},i=[e.inherit(e.C_NUMBER_MODE,{starts:{end:\"(\\\\s*/)?\",relevance:0}}),{className:\"string\",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,a]}]},{className:\"built_in\",begin:\"@__\"+e.IDENT_RE},{begin:\"@\"+e.IDENT_RE},{begin:e.IDENT_RE+\"\\\\\\\\\"+e.IDENT_RE}];a.contains=i;const o=e.inherit(e.TITLE_MODE,{begin:n}),s=\"(\\\\(.*\\\\)\\\\s*)?\\\\B[-=]>\",l={className:\"params\",begin:\"\\\\([^\\\\(]\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:r,contains:[\"self\"].concat(i)}]};return{name:\"MoonScript\",aliases:[\"moon\"],keywords:r,illegal:/\\/\\*/,contains:i.concat([e.COMMENT(\"--\",\"$\"),{className:\"function\",begin:\"^\\\\s*\"+n+\"\\\\s*=\\\\s*\"+s,end:\"[-=]>\",returnBegin:!0,contains:[o,l]},{begin:/[\\(,:=]\\s*/,relevance:0,contains:[{className:\"function\",begin:s,end:\"[-=]>\",returnBegin:!0,contains:[l]}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[o]},o]},{className:\"name\",begin:n+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}}return Ma=t,Ma}var La,ws;function Td(){if(ws)return La;ws=1;function t(e){return{name:\"N1QL\",case_insensitive:!0,contains:[{beginKeywords:\"build create index delete drop explain infer|10 insert merge prepare select update upsert|10\",end:/;/,endsWithParent:!0,keywords:{keyword:\"all alter analyze and any array as asc begin between binary boolean break bucket build by call case cast cluster collate collection commit connect continue correlate cover create database dataset datastore declare decrement delete derived desc describe distinct do drop each element else end every except exclude execute exists explain fetch first flatten for force from function grant group gsi having if ignore ilike in include increment index infer inline inner insert intersect into is join key keys keyspace known last left let letting like limit lsm map mapping matched materialized merge minus namespace nest not number object offset on option or order outer over parse partition password path pool prepare primary private privilege procedure public raw realm reduce rename return returning revoke right role rollback satisfies schema select self semi set show some start statistics string system then to transaction trigger truncate under union unique unknown unnest unset update upsert use user using validate value valued values via view when where while with within work xor\",literal:\"true false null missing|5\",built_in:\"array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE]},{className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE]},{className:\"symbol\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE],relevance:2},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}}return La=t,La}var xa,ks;function bd(){if(ks)return xa;ks=1;function t(e){const r={className:\"variable\",variants:[{begin:/\\$\\d+/},{begin:/\\$\\{/,end:/\\}/},{begin:/[$@]/+e.UNDERSCORE_IDENT_RE}]},n={endsWithParent:!0,keywords:{$pattern:\"[a-z/_]+\",literal:\"on off yes no true false none blocked debug info notice warn error crit select break last permanent redirect kqueue rtsig epoll poll /dev/poll\"},relevance:0,illegal:\"=>\",contains:[e.HASH_COMMENT_MODE,{className:\"string\",contains:[e.BACKSLASH_ESCAPE,r],variants:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/}]},{begin:\"([a-z]+):/\",end:\"\\\\s\",endsWithParent:!0,excludeEnd:!0,contains:[r]},{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,r],variants:[{begin:\"\\\\s\\\\^\",end:\"\\\\s|\\\\{|;\",returnEnd:!0},{begin:\"~\\\\*?\\\\s+\",end:\"\\\\s|\\\\{|;\",returnEnd:!0},{begin:\"\\\\*(\\\\.[a-z\\\\-]+)+\"},{begin:\"([a-z\\\\-]+\\\\.)+\\\\*\"}]},{className:\"number\",begin:\"\\\\b\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b\"},{className:\"number\",begin:\"\\\\b\\\\d+[kKmMgGdshdwy]*\\\\b\",relevance:0},r]};return{name:\"Nginx config\",aliases:[\"nginxconf\"],contains:[e.HASH_COMMENT_MODE,{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s+\\\\{\",returnBegin:!0,end:/\\{/,contains:[{className:\"section\",begin:e.UNDERSCORE_IDENT_RE}],relevance:0},{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s\",end:\";|\\\\{\",returnBegin:!0,contains:[{className:\"attribute\",begin:e.UNDERSCORE_IDENT_RE,starts:n}],relevance:0}],illegal:\"[^\\\\s\\\\}]\"}}return xa=t,xa}var Pa,Us;function Rd(){if(Us)return Pa;Us=1;function t(e){return{name:\"Nim\",keywords:{keyword:\"addr and as asm bind block break case cast const continue converter discard distinct div do elif else end enum except export finally for from func generic if import in include interface is isnot iterator let macro method mixin mod nil not notin object of or out proc ptr raise ref return shl shr static template try tuple type using var when while with without xor yield\",literal:\"shared guarded stdin stdout stderr result true false\",built_in:\"int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float float32 float64 bool char string cstring pointer expr stmt void auto any range array openarray varargs seq set clong culong cchar cschar cshort cint csize clonglong cfloat cdouble clongdouble cuchar cushort cuint culonglong cstringarray semistatic\"},contains:[{className:\"meta\",begin:/\\{\\./,end:/\\.\\}/,relevance:10},{className:\"string\",begin:/[a-zA-Z]\\w*\"/,end:/\"/,contains:[{begin:/\"\"/}]},{className:\"string\",begin:/([a-zA-Z]\\w*)?\"\"\"/,end:/\"\"\"/},e.QUOTE_STRING_MODE,{className:\"type\",begin:/\\b[A-Z]\\w+\\b/,relevance:0},{className:\"number\",relevance:0,variants:[{begin:/\\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\\b(\\d[_\\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}return Pa=t,Pa}var wa,Fs;function fd(){if(Fs)return wa;Fs=1;function t(e){const r={keyword:\"rec with let in inherit assert if else then\",literal:\"true false or and null\",built_in:\"import abort baseNameOf dirOf isNull builtins map removeAttrs throw toString derivation\"},n={className:\"subst\",begin:/\\$\\{/,end:/\\}/,keywords:r},a={begin:/[a-zA-Z0-9-_]+(\\s*=)/,returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:/\\S+/}]},i={className:\"string\",contains:[n],variants:[{begin:\"''\",end:\"''\"},{begin:'\"',end:'\"'}]},o=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,a];return n.contains=o,{name:\"Nix\",aliases:[\"nixos\"],keywords:r,contains:o}}return wa=t,wa}var ka,Bs;function Nd(){if(Bs)return ka;Bs=1;function t(e){return{name:\"Node REPL\",contains:[{className:\"meta\",starts:{end:/ |$/,starts:{end:\"$\",subLanguage:\"javascript\"}},variants:[{begin:/^>(?=[ ]|$)/},{begin:/^\\.\\.\\.(?=[ ]|$)/}]}]}}return ka=t,ka}var Ua,Gs;function Cd(){if(Gs)return Ua;Gs=1;function t(e){const r={className:\"variable\",begin:/\\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/},n={className:\"variable\",begin:/\\$+\\{[\\w.:-]+\\}/},a={className:\"variable\",begin:/\\$+\\w+/,illegal:/\\(\\)\\{\\}/},i={className:\"variable\",begin:/\\$+\\([\\w^.:-]+\\)/},o={className:\"params\",begin:\"(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)\"},s={className:\"keyword\",begin:/!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/},l={className:\"meta\",begin:/\\$(\\\\[nrt]|\\$)/},_={className:\"class\",begin:/\\w+::\\w+/},c={className:\"string\",variants:[{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"},{begin:\"`\",end:\"`\"}],illegal:/\\n/,contains:[l,r,n,a,i]};return{name:\"NSIS\",case_insensitive:!1,keywords:{keyword:\"Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileWriteUTF16LE FileSeek FileWrite FileWriteByte FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetKnownFolderPath GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfRtlLanguage IfShellVarContextAll IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadAndSetImage LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestLongPathAware ManifestMaxVersionTested ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PEAddResource PEDllCharacteristics PERemoveResource PESubsysVer Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle\",literal:\"admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib\"},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"function\",beginKeywords:\"Function PageEx Section SectionGroup\",end:\"$\"},c,s,n,a,i,o,_,e.NUMBER_MODE]}}return Ua=t,Ua}var Fa,Ys;function Od(){if(Ys)return Fa;Ys=1;function t(e){const r={className:\"built_in\",begin:\"\\\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\\\w+\"},n=/[a-zA-Z@][a-zA-Z0-9_]*/,a={$pattern:n,keyword:\"int float while char export sizeof typedef const struct for union unsigned long volatile static bool mutable if do return goto void enum else break extern asm case short default double register explicit signed typename this switch continue wchar_t inline readonly assign readwrite self @synchronized id typeof nonatomic super unichar IBOutlet IBAction strong weak copy in out inout bycopy byref oneway __strong __weak __block __autoreleasing @private @protected @public @try @property @end @throw @catch @finally @autoreleasepool @synthesize @dynamic @selector @optional @required @encode @package @import @defs @compatibility_alias __bridge __bridge_transfer __bridge_retained __bridge_retain __covariant __contravariant __kindof _Nonnull _Nullable _Null_unspecified __FUNCTION__ __PRETTY_FUNCTION__ __attribute__ getter setter retain unsafe_unretained nonnull nullable null_unspecified null_resettable class instancetype NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN\",literal:\"false true FALSE TRUE nil YES NO NULL\",built_in:\"BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once\"},i={$pattern:n,keyword:\"@interface @class @protocol @implementation\"};return{name:\"Objective-C\",aliases:[\"mm\",\"objc\",\"obj-c\",\"obj-c++\",\"objective-c++\"],keywords:a,illegal:\"/,end:/$/,illegal:\"\\\\n\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"class\",begin:\"(\"+i.keyword.split(\" \").join(\"|\")+\")\\\\b\",end:/(\\{|$)/,excludeEnd:!0,keywords:i,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:\"\\\\.\"+e.UNDERSCORE_IDENT_RE,relevance:0}]}}return Fa=t,Fa}var Ba,qs;function Id(){if(qs)return Ba;qs=1;function t(e){return{name:\"OCaml\",aliases:[\"ml\"],keywords:{$pattern:\"[a-z_]\\\\w*!?\",keyword:\"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value\",built_in:\"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref\",literal:\"true false\"},illegal:/\\/\\/|>>/,contains:[{className:\"literal\",begin:\"\\\\[(\\\\|\\\\|)?\\\\]|\\\\(\\\\)\",relevance:0},e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\"]}),{className:\"symbol\",begin:\"'[A-Za-z_](?!')[\\\\w']*\"},{className:\"type\",begin:\"`[A-Z][\\\\w']*\"},{className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},{begin:\"[a-z_]\\\\w*'[\\\\w']*\",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:\"string\",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"number\",begin:\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",relevance:0},{begin:/->/}]}}return Ba=t,Ba}var Ga,Hs;function Ad(){if(Hs)return Ga;Hs=1;function t(e){const r={className:\"keyword\",begin:\"\\\\$(f[asn]|t|vp[rtd]|children)\"},n={className:\"literal\",begin:\"false|true|PI|undef\"},a={className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(e-?\\\\d+)?\",relevance:0},i=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),o={className:\"meta\",keywords:{\"meta-keyword\":\"include use\"},begin:\"include|use <\",end:\">\"},s={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",a,i,r,n]},l={begin:\"[*!#%]\",relevance:0},_={className:\"function\",beginKeywords:\"module function\",end:/=|\\{/,contains:[s,e.UNDERSCORE_TITLE_MODE]};return{name:\"OpenSCAD\",aliases:[\"scad\"],keywords:{keyword:\"function module include use for intersection_for if else \\\\%\",literal:\"false true PI undef\",built_in:\"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,o,i,r,l,_]}}return Ga=t,Ga}var Ya,Vs;function vd(){if(Vs)return Ya;Vs=1;function t(e){const r={$pattern:/\\.?\\w+/,keyword:\"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained\"},n=e.COMMENT(/\\{/,/\\}/,{relevance:0}),a=e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{relevance:10}),i={className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},o={className:\"string\",begin:\"(#\\\\d+)+\"},s={className:\"function\",beginKeywords:\"function constructor destructor procedure method\",end:\"[:;]\",keywords:\"function constructor|10 destructor|10 procedure|10 method|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",keywords:r,contains:[i,o]},n,a]};return{name:\"Oxygene\",case_insensitive:!0,keywords:r,illegal:'(\"|\\\\$[G-Zg-z]|\\\\/\\\\*||->)',contains:[n,a,e.C_LINE_COMMENT_MODE,i,o,e.NUMBER_MODE,s,{className:\"class\",begin:\"=\\\\bclass\\\\b\",end:\"end;\",keywords:r,contains:[i,o,n,a,e.C_LINE_COMMENT_MODE,s]}]}}return Ya=t,Ya}var qa,Ws;function yd(){if(Ws)return qa;Ws=1;function t(e){const r=e.COMMENT(/\\{/,/\\}/,{contains:[\"self\"]});return{name:\"Parser3\",subLanguage:\"xml\",relevance:0,contains:[e.COMMENT(\"^#\",\"$\"),e.COMMENT(/\\^rem\\{/,/\\}/,{relevance:10,contains:[r]}),{className:\"meta\",begin:\"^@(?:BASE|USE|CLASS|OPTIONS)$\",relevance:10},{className:\"title\",begin:\"@[\\\\w\\\\-]+\\\\[[\\\\w^;\\\\-]*\\\\](?:\\\\[[\\\\w^;\\\\-]*\\\\])?(?:.*)$\"},{className:\"variable\",begin:/\\$\\{?[\\w\\-.:]+\\}?/},{className:\"keyword\",begin:/\\^[\\w\\-.:]+/},{className:\"number\",begin:\"\\\\^#[0-9a-fA-F]+\"},e.C_NUMBER_MODE]}}return qa=t,qa}var Ha,zs;function Dd(){if(zs)return Ha;zs=1;function t(e){const r={className:\"variable\",begin:/\\$[\\w\\d#@][\\w\\d_]*/},n={className:\"variable\",begin:/<(?!\\/)/,end:/>/};return{name:\"Packet Filter config\",aliases:[\"pf.conf\"],keywords:{$pattern:/[a-z0-9_<>-]+/,built_in:\"block match pass load anchor|5 antispoof|10 set table\",keyword:\"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id\",literal:\"all any no-route self urpf-failed egress|5 unknown\"},contains:[e.HASH_COMMENT_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE,r,n]}}return Ha=t,Ha}var Va,Ks;function hd(){if(Ks)return Va;Ks=1;function t(e){const r=e.COMMENT(\"--\",\"$\"),n=\"[a-zA-Z_][a-zA-Z_0-9$]*\",a=\"\\\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\\\$\",i=\"<<\\\\s*\"+n+\"\\\\s*>>\",o=\"ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY \",s=\"SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS \",l=\"ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN \",_=\"BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 \",c=_.trim().split(\" \").map(function(g){return g.split(\"|\")[0]}).join(\"|\"),E=\"CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC \",d=\"FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 \",u=\"SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED \",T=\"ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST \".trim().split(\" \").map(function(g){return g.split(\"|\")[0]}).join(\"|\");return{name:\"PostgreSQL\",aliases:[\"postgres\",\"postgresql\"],case_insensitive:!0,keywords:{keyword:o+l+s,built_in:E+d+u},illegal:/:==|\\W\\s*\\(\\*|(^|\\s)\\$[a-z]|\\{\\{|[a-z]:\\s*$|\\.\\.\\.|TO:|DO:/,contains:[{className:\"keyword\",variants:[{begin:/\\bTEXT\\s*SEARCH\\b/},{begin:/\\b(PRIMARY|FOREIGN|FOR(\\s+NO)?)\\s+KEY\\b/},{begin:/\\bPARALLEL\\s+(UNSAFE|RESTRICTED|SAFE)\\b/},{begin:/\\bSTORAGE\\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\\b/},{begin:/\\bMATCH\\s+(FULL|PARTIAL|SIMPLE)\\b/},{begin:/\\bNULLS\\s+(FIRST|LAST)\\b/},{begin:/\\bEVENT\\s+TRIGGER\\b/},{begin:/\\b(MAPPING|OR)\\s+REPLACE\\b/},{begin:/\\b(FROM|TO)\\s+(PROGRAM|STDIN|STDOUT)\\b/},{begin:/\\b(SHARE|EXCLUSIVE)\\s+MODE\\b/},{begin:/\\b(LEFT|RIGHT)\\s+(OUTER\\s+)?JOIN\\b/},{begin:/\\b(FETCH|MOVE)\\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\\b/},{begin:/\\bPRESERVE\\s+ROWS\\b/},{begin:/\\bDISCARD\\s+PLANS\\b/},{begin:/\\bREFERENCING\\s+(OLD|NEW)\\b/},{begin:/\\bSKIP\\s+LOCKED\\b/},{begin:/\\bGROUPING\\s+SETS\\b/},{begin:/\\b(BINARY|INSENSITIVE|SCROLL|NO\\s+SCROLL)\\s+(CURSOR|FOR)\\b/},{begin:/\\b(WITH|WITHOUT)\\s+HOLD\\b/},{begin:/\\bWITH\\s+(CASCADED|LOCAL)\\s+CHECK\\s+OPTION\\b/},{begin:/\\bEXCLUDE\\s+(TIES|NO\\s+OTHERS)\\b/},{begin:/\\bFORMAT\\s+(TEXT|XML|JSON|YAML)\\b/},{begin:/\\bSET\\s+((SESSION|LOCAL)\\s+)?NAMES\\b/},{begin:/\\bIS\\s+(NOT\\s+)?UNKNOWN\\b/},{begin:/\\bSECURITY\\s+LABEL\\b/},{begin:/\\bSTANDALONE\\s+(YES|NO|NO\\s+VALUE)\\b/},{begin:/\\bWITH\\s+(NO\\s+)?DATA\\b/},{begin:/\\b(FOREIGN|SET)\\s+DATA\\b/},{begin:/\\bSET\\s+(CATALOG|CONSTRAINTS)\\b/},{begin:/\\b(WITH|FOR)\\s+ORDINALITY\\b/},{begin:/\\bIS\\s+(NOT\\s+)?DOCUMENT\\b/},{begin:/\\bXML\\s+OPTION\\s+(DOCUMENT|CONTENT)\\b/},{begin:/\\b(STRIP|PRESERVE)\\s+WHITESPACE\\b/},{begin:/\\bNO\\s+(ACTION|MAXVALUE|MINVALUE)\\b/},{begin:/\\bPARTITION\\s+BY\\s+(RANGE|LIST|HASH)\\b/},{begin:/\\bAT\\s+TIME\\s+ZONE\\b/},{begin:/\\bGRANTED\\s+BY\\b/},{begin:/\\bRETURN\\s+(QUERY|NEXT)\\b/},{begin:/\\b(ATTACH|DETACH)\\s+PARTITION\\b/},{begin:/\\bFORCE\\s+ROW\\s+LEVEL\\s+SECURITY\\b/},{begin:/\\b(INCLUDING|EXCLUDING)\\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\\b/},{begin:/\\bAS\\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\\b/}]},{begin:/\\b(FORMAT|FAMILY|VERSION)\\s*\\(/},{begin:/\\bINCLUDE\\s*\\(/,keywords:\"INCLUDE\"},{begin:/\\bRANGE(?!\\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\\s*=/},{begin:/\\b(PG_\\w+?|HAS_[A-Z_]+_PRIVILEGE)\\b/,relevance:10},{begin:/\\bEXTRACT\\s*\\(/,end:/\\bFROM\\b/,returnEnd:!0,keywords:{type:\"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR\"}},{begin:/\\b(XMLELEMENT|XMLPI)\\s*\\(\\s*NAME/,keywords:{keyword:\"NAME\"}},{begin:/\\b(XMLPARSE|XMLSERIALIZE)\\s*\\(\\s*(DOCUMENT|CONTENT)/,keywords:{keyword:\"DOCUMENT CONTENT\"}},{beginKeywords:\"CACHE INCREMENT MAXVALUE MINVALUE\",end:e.C_NUMBER_RE,returnEnd:!0,keywords:\"BY CACHE INCREMENT MAXVALUE MINVALUE\"},{className:\"type\",begin:/\\b(WITH|WITHOUT)\\s+TIME\\s+ZONE\\b/},{className:\"type\",begin:/\\bINTERVAL\\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\\s+TO\\s+(MONTH|HOUR|MINUTE|SECOND))?\\b/},{begin:/\\bRETURNS\\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\\b/,keywords:{keyword:\"RETURNS\",type:\"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER\"}},{begin:\"\\\\b(\"+T+\")\\\\s*\\\\(\"},{begin:\"\\\\.(\"+c+\")\\\\b\"},{begin:\"\\\\b(\"+c+\")\\\\s+PATH\\\\b\",keywords:{keyword:\"PATH\",type:_.replace(\"PATH \",\"\")}},{className:\"type\",begin:\"\\\\b(\"+c+\")\\\\b\"},{className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},{className:\"string\",begin:\"(e|E|u&|U&)'\",end:\"'\",contains:[{begin:\"\\\\\\\\.\"}],relevance:10},e.END_SAME_AS_BEGIN({begin:a,end:a,contains:[{subLanguage:[\"pgsql\",\"perl\",\"python\",\"tcl\",\"r\",\"lua\",\"java\",\"php\",\"ruby\",\"bash\",\"scheme\",\"xml\",\"json\"],endsWithParent:!0}]}),{begin:'\"',end:'\"',contains:[{begin:'\"\"'}]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,r,{className:\"meta\",variants:[{begin:\"%(ROW)?TYPE\",relevance:10},{begin:\"\\\\$\\\\d+\"},{begin:\"^#\\\\w\",end:\"$\"}]},{className:\"symbol\",begin:i,relevance:10}]}}return Va=t,Va}var Wa,$s;function Md(){if($s)return Wa;$s=1;function t(e){const r={className:\"variable\",begin:\"\\\\$+[a-zA-Z_-ÿ][a-zA-Z0-9_-ÿ]*(?![A-Za-z0-9])(?![$])\"},n={className:\"meta\",variants:[{begin:/<\\?php/,relevance:10},{begin:/<\\?[=]?/},{begin:/\\?>/}]},a={className:\"subst\",variants:[{begin:/\\$\\w+/},{begin:/\\{\\$/,end:/\\}/}]},i=e.inherit(e.APOS_STRING_MODE,{illegal:null}),o=e.inherit(e.QUOTE_STRING_MODE,{illegal:null,contains:e.QUOTE_STRING_MODE.contains.concat(a)}),s=e.END_SAME_AS_BEGIN({begin:/<<<[ \\t]*(\\w+)\\n/,end:/[ \\t]*(\\w+)\\b/,contains:e.QUOTE_STRING_MODE.contains.concat(a)}),l={className:\"string\",contains:[e.BACKSLASH_ESCAPE,n],variants:[e.inherit(i,{begin:\"b'\",end:\"'\"}),e.inherit(o,{begin:'b\"',end:'\"'}),o,i,s]},_={className:\"number\",variants:[{begin:\"\\\\b0b[01]+(?:_[01]+)*\\\\b\"},{begin:\"\\\\b0o[0-7]+(?:_[0-7]+)*\\\\b\"},{begin:\"\\\\b0x[\\\\da-f]+(?:_[\\\\da-f]+)*\\\\b\"},{begin:\"(?:\\\\b\\\\d+(?:_\\\\d+)*(\\\\.(?:\\\\d+(?:_\\\\d+)*))?|\\\\B\\\\.\\\\d+)(?:e[+-]?\\\\d+)?\"}],relevance:0},c={keyword:\"__CLASS__ __DIR__ __FILE__ __FUNCTION__ __LINE__ __METHOD__ __NAMESPACE__ __TRAIT__ die echo exit include include_once print require require_once array abstract and as binary bool boolean break callable case catch class clone const continue declare default do double else elseif empty enddeclare endfor endforeach endif endswitch endwhile enum eval extends final finally float for foreach from global goto if implements instanceof insteadof int integer interface isset iterable list match|0 mixed new object or private protected public real return string switch throw trait try unset use var void while xor yield\",literal:\"false null true\",built_in:\"Error|0 AppendIterator ArgumentCountError ArithmeticError ArrayIterator ArrayObject AssertionError BadFunctionCallException BadMethodCallException CachingIterator CallbackFilterIterator CompileError Countable DirectoryIterator DivisionByZeroError DomainException EmptyIterator ErrorException Exception FilesystemIterator FilterIterator GlobIterator InfiniteIterator InvalidArgumentException IteratorIterator LengthException LimitIterator LogicException MultipleIterator NoRewindIterator OutOfBoundsException OutOfRangeException OuterIterator OverflowException ParentIterator ParseError RangeException RecursiveArrayIterator RecursiveCachingIterator RecursiveCallbackFilterIterator RecursiveDirectoryIterator RecursiveFilterIterator RecursiveIterator RecursiveIteratorIterator RecursiveRegexIterator RecursiveTreeIterator RegexIterator RuntimeException SeekableIterator SplDoublyLinkedList SplFileInfo SplFileObject SplFixedArray SplHeap SplMaxHeap SplMinHeap SplObjectStorage SplObserver SplObserver SplPriorityQueue SplQueue SplStack SplSubject SplSubject SplTempFileObject TypeError UnderflowException UnexpectedValueException UnhandledMatchError ArrayAccess Closure Generator Iterator IteratorAggregate Serializable Stringable Throwable Traversable WeakReference WeakMap Directory __PHP_Incomplete_Class parent php_user_filter self static stdClass\"};return{aliases:[\"php3\",\"php4\",\"php5\",\"php6\",\"php7\",\"php8\"],case_insensitive:!0,keywords:c,contains:[e.HASH_COMMENT_MODE,e.COMMENT(\"//\",\"$\",{contains:[n]}),e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.COMMENT(\"__halt_compiler.+?;\",!1,{endsWithParent:!0,keywords:\"__halt_compiler\"}),n,{className:\"keyword\",begin:/\\$this\\b/},r,{begin:/(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/},{className:\"function\",relevance:0,beginKeywords:\"fn function\",end:/[;{]/,excludeEnd:!0,illegal:\"[$%\\\\[]\",contains:[{beginKeywords:\"use\"},e.UNDERSCORE_TITLE_MODE,{begin:\"=>\",endsParent:!0},{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0,keywords:c,contains:[\"self\",r,e.C_BLOCK_COMMENT_MODE,l,_]}]},{className:\"class\",variants:[{beginKeywords:\"enum\",illegal:/[($\"]/},{beginKeywords:\"class interface trait\",illegal:/[:($\"]/}],relevance:0,end:/\\{/,excludeEnd:!0,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"namespace\",relevance:0,end:\";\",illegal:/[.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"use\",relevance:0,end:\";\",contains:[e.UNDERSCORE_TITLE_MODE]},l,_]}}return Wa=t,Wa}var za,Qs;function Ld(){if(Qs)return za;Qs=1;function t(e){return{name:\"PHP template\",subLanguage:\"xml\",contains:[{begin:/<\\?(php|=)?/,end:/\\?>/,subLanguage:\"php\",contains:[{begin:\"/\\\\*\",end:\"\\\\*/\",skip:!0},{begin:'b\"',end:'\"',skip:!0},{begin:\"b'\",end:\"'\",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}}return za=t,za}var Ka,Xs;function xd(){if(Xs)return Ka;Xs=1;function t(e){return{name:\"Plain text\",aliases:[\"text\",\"txt\"],disableAutodetect:!0}}return Ka=t,Ka}var $a,Zs;function Pd(){if(Zs)return $a;Zs=1;function t(e){const r={keyword:\"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor\",meta:\"iso val tag trn box ref\",literal:\"this false true\"},n={className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:10},a={className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE]},i={className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE],relevance:0},o={className:\"type\",begin:\"\\\\b_?[A-Z][\\\\w]*\",relevance:0},s={begin:e.IDENT_RE+\"'\",relevance:0};return{name:\"Pony\",keywords:r,contains:[o,n,a,i,s,{className:\"number\",begin:\"(-?)(\\\\b0[xX][a-fA-F0-9]+|\\\\b0[bB][01]+|(\\\\b\\\\d+(_\\\\d+)?(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)\",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}return $a=t,$a}var Qa,Js;function wd(){if(Js)return Qa;Js=1;function t(e){const r=[\"string\",\"char\",\"byte\",\"int\",\"long\",\"bool\",\"decimal\",\"single\",\"double\",\"DateTime\",\"xml\",\"array\",\"hashtable\",\"void\"],n=\"Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where\",a=\"-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor\",i={$pattern:/-?[A-z\\.\\-]+\\b/,keyword:\"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter\",built_in:\"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write\"},o=/\\w[\\w\\d]*((-)[\\w\\d]+)*/,s={begin:\"`[\\\\s\\\\S]\",relevance:0},l={className:\"variable\",variants:[{begin:/\\$\\B/},{className:\"keyword\",begin:/\\$this/},{begin:/\\$[\\w\\d][\\w\\d_:]*/}]},_={className:\"literal\",begin:/\\$(null|true|false)\\b/},c={className:\"string\",variants:[{begin:/\"/,end:/\"/},{begin:/@\"/,end:/^\"@/}],contains:[s,l,{className:\"variable\",begin:/\\$[A-z]/,end:/[^A-z]/}]},E={className:\"string\",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},d={className:\"doctag\",variants:[{begin:/\\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\\s+\\S+/}]},u=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[d]}),p={className:\"built_in\",variants:[{begin:\"(\".concat(n,\")+(-)[\\\\w\\\\d]+\")}]},T={className:\"class\",beginKeywords:\"class enum\",end:/\\s*[{]/,excludeEnd:!0,relevance:0,contains:[e.TITLE_MODE]},g={className:\"function\",begin:/function\\s+/,end:/\\s*\\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:\"function\",relevance:0,className:\"keyword\"},{className:\"title\",begin:o,relevance:0},{begin:/\\(/,end:/\\)/,className:\"params\",relevance:0,contains:[l]}]},S={begin:/using\\s/,end:/$/,returnBegin:!0,contains:[c,E,{className:\"keyword\",begin:/(using|assembly|command|module|namespace|type)/}]},b={variants:[{className:\"operator\",begin:\"(\".concat(a,\")\\\\b\")},{className:\"literal\",begin:/(-)[\\w\\d]+/,relevance:0}]},f={className:\"selector-tag\",begin:/@\\B/,relevance:0},C={className:\"function\",begin:/\\[.*\\]\\s*[\\w]+[ ]??\\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:\"keyword\",begin:\"(\".concat(i.keyword.toString().replace(/\\s/g,\"|\"),\")\\\\b\"),endsParent:!0,relevance:0},e.inherit(e.TITLE_MODE,{endsParent:!0})]},y=[C,u,s,e.NUMBER_MODE,c,E,p,l,_,f],A={begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat(\"self\",y,{begin:\"(\"+r.join(\"|\")+\")\",className:\"built_in\",relevance:0},{className:\"type\",begin:/[\\.\\w\\d]+/,relevance:0})};return C.contains.unshift(A),{name:\"PowerShell\",aliases:[\"ps\",\"ps1\"],case_insensitive:!0,keywords:i,contains:y.concat(T,g,S,b,A)}}return Qa=t,Qa}var Xa,js;function kd(){if(js)return Xa;js=1;function t(e){return{name:\"Processing\",keywords:{keyword:\"BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject Object StringDict StringList Table TableRow XML false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private\",literal:\"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI\",title:\"setup draw\",built_in:\"displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key keyCode pixels focused frameCount frameRate height width size createGraphics beginDraw createShape loadShape PShape arc ellipse line point quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour millis minute month second year background clear colorMode fill noFill noStroke stroke alpha blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return Xa=t,Xa}var Za,el;function Ud(){if(el)return Za;el=1;function t(e){return{name:\"Python profiler\",contains:[e.C_NUMBER_MODE,{begin:\"[a-zA-Z_][\\\\da-zA-Z_]+\\\\.[\\\\da-zA-Z_]{1,3}\",end:\":\",excludeEnd:!0},{begin:\"(ncalls|tottime|cumtime)\",end:\"$\",keywords:\"ncalls tottime|10 cumtime|10 filename\",relevance:10},{begin:\"function calls\",end:\"$\",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"\\\\(\",end:\"\\\\)$\",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}return Za=t,Za}var Ja,tl;function Fd(){if(tl)return Ja;tl=1;function t(e){const r={begin:/[a-z][A-Za-z0-9_]*/,relevance:0},n={className:\"symbol\",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},a={begin:/\\(/,end:/\\)/,relevance:0},i={begin:/\\[/,end:/\\]/},o={className:\"comment\",begin:/%/,end:/$/,contains:[e.PHRASAL_WORDS_MODE]},s={className:\"string\",begin:/`/,end:/`/,contains:[e.BACKSLASH_ESCAPE]},l={className:\"string\",begin:/0'(\\\\'|.)/},_={className:\"string\",begin:/0'\\\\s/},E=[r,n,a,{begin:/:-/},i,o,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,s,l,_,e.C_NUMBER_MODE];return a.contains=E,i.contains=E,{name:\"Prolog\",contains:E.concat([{begin:/\\.$/}])}}return Ja=t,Ja}var ja,nl;function Bd(){if(nl)return ja;nl=1;function t(e){var r=\"[ \\\\t\\\\f]*\",n=\"[ \\\\t\\\\f]+\",a=r+\"[:=]\"+r,i=n,o=\"(\"+a+\"|\"+i+\")\",s=\"([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",l=\"([^\\\\\\\\:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",_={end:o,relevance:0,starts:{className:\"string\",end:/$/,relevance:0,contains:[{begin:\"\\\\\\\\\\\\\\\\\"},{begin:\"\\\\\\\\\\\\n\"}]}};return{name:\".properties\",case_insensitive:!0,illegal:/\\S/,contains:[e.COMMENT(\"^\\\\s*[!#]\",\"$\"),{returnBegin:!0,variants:[{begin:s+a,relevance:1},{begin:s+i,relevance:0}],contains:[{className:\"attr\",begin:s,endsParent:!0,relevance:0}],starts:_},{begin:l+o,returnBegin:!0,relevance:0,contains:[{className:\"meta\",begin:l,endsParent:!0,relevance:0}],starts:_},{className:\"attr\",relevance:0,begin:l+r+\"$\"}]}}return ja=t,ja}var er,al;function Gd(){if(al)return er;al=1;function t(e){return{name:\"Protocol Buffers\",keywords:{keyword:\"package import option optional required repeated group oneof\",built_in:\"double float int32 int64 uint32 uint64 sint32 sint64 fixed32 fixed64 sfixed32 sfixed64 bool string bytes\",literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"class\",beginKeywords:\"message enum service\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:\"function\",beginKeywords:\"rpc\",end:/[{;]/,excludeEnd:!0,keywords:\"rpc returns\"},{begin:/^\\s*[A-Z_]+(?=\\s*=[^\\n]+;$)/}]}}return er=t,er}var tr,rl;function Yd(){if(rl)return tr;rl=1;function t(e){const r={keyword:\"and case default else elsif false if in import enherits node or true undef unless main settings $string \",literal:\"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted\",built_in:\"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version\"},n=e.COMMENT(\"#\",\"$\"),a=\"([A-Za-z_]|::)(\\\\w|::)*\",i=e.inherit(e.TITLE_MODE,{begin:a}),o={className:\"variable\",begin:\"\\\\$\"+a},s={className:\"string\",contains:[e.BACKSLASH_ESCAPE,o],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/}]};return{name:\"Puppet\",aliases:[\"pp\"],contains:[n,o,s,{beginKeywords:\"class\",end:\"\\\\{|;\",illegal:/=/,contains:[i,n]},{beginKeywords:\"define\",end:/\\{/,contains:[{className:\"section\",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+\"\\\\s+\\\\{\",returnBegin:!0,end:/\\S/,contains:[{className:\"keyword\",begin:e.IDENT_RE},{begin:/\\{/,end:/\\}/,keywords:r,relevance:0,contains:[s,n,{begin:\"[a-zA-Z_]+\\\\s*=>\",returnBegin:!0,end:\"=>\",contains:[{className:\"attr\",begin:e.IDENT_RE}]},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},o]}],relevance:0}]}}return tr=t,tr}var nr,il;function qd(){if(il)return nr;il=1;function t(e){const r={className:\"string\",begin:'(~)?\"',end:'\"',illegal:\"\\\\n\"},n={className:\"symbol\",begin:\"#[a-zA-Z_]\\\\w*\\\\$?\"};return{name:\"PureBASIC\",aliases:[\"pb\",\"pbi\"],keywords:\"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr\",contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"function\",begin:\"\\\\b(Procedure|Declare)(C|CDLL|DLL)?\\\\b\",end:\"\\\\(\",excludeEnd:!0,returnBegin:!0,contains:[{className:\"keyword\",begin:\"(Procedure|Declare)(C|CDLL|DLL)?\",excludeEnd:!0},{className:\"type\",begin:\"\\\\.\\\\w*\"},e.UNDERSCORE_TITLE_MODE]},r,n]}}return nr=t,nr}var ar,ol;function Hd(){if(ol)return ar;ol=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(?=\",a,\")\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const _={$pattern:/[A-Za-z]\\w+|__\\w+__/,keyword:[\"and\",\"as\",\"assert\",\"async\",\"await\",\"break\",\"class\",\"continue\",\"def\",\"del\",\"elif\",\"else\",\"except\",\"finally\",\"for\",\"from\",\"global\",\"if\",\"import\",\"in\",\"is\",\"lambda\",\"nonlocal|10\",\"not\",\"or\",\"pass\",\"raise\",\"return\",\"try\",\"while\",\"with\",\"yield\"],built_in:[\"__import__\",\"abs\",\"all\",\"any\",\"ascii\",\"bin\",\"bool\",\"breakpoint\",\"bytearray\",\"bytes\",\"callable\",\"chr\",\"classmethod\",\"compile\",\"complex\",\"delattr\",\"dict\",\"dir\",\"divmod\",\"enumerate\",\"eval\",\"exec\",\"filter\",\"float\",\"format\",\"frozenset\",\"getattr\",\"globals\",\"hasattr\",\"hash\",\"help\",\"hex\",\"id\",\"input\",\"int\",\"isinstance\",\"issubclass\",\"iter\",\"len\",\"list\",\"locals\",\"map\",\"max\",\"memoryview\",\"min\",\"next\",\"object\",\"oct\",\"open\",\"ord\",\"pow\",\"print\",\"property\",\"range\",\"repr\",\"reversed\",\"round\",\"set\",\"setattr\",\"slice\",\"sorted\",\"staticmethod\",\"str\",\"sum\",\"super\",\"tuple\",\"type\",\"vars\",\"zip\"],literal:[\"__debug__\",\"Ellipsis\",\"False\",\"None\",\"NotImplemented\",\"True\"],type:[\"Any\",\"Callable\",\"Coroutine\",\"Dict\",\"List\",\"Literal\",\"Generic\",\"Optional\",\"Sequence\",\"Set\",\"Tuple\",\"Type\",\"Union\"]},c={className:\"meta\",begin:/^(>>>|\\.\\.\\.) /},E={className:\"subst\",begin:/\\{/,end:/\\}/,keywords:_,illegal:/#/},d={begin:/\\{\\{/,relevance:0},u={className:\"string\",contains:[a.BACKSLASH_ESCAPE],variants:[{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,end:/'''/,contains:[a.BACKSLASH_ESCAPE,c],relevance:10},{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?\"\"\"/,end:/\"\"\"/,contains:[a.BACKSLASH_ESCAPE,c],relevance:10},{begin:/([fF][rR]|[rR][fF]|[fF])'''/,end:/'''/,contains:[a.BACKSLASH_ESCAPE,c,d,E]},{begin:/([fF][rR]|[rR][fF]|[fF])\"\"\"/,end:/\"\"\"/,contains:[a.BACKSLASH_ESCAPE,c,d,E]},{begin:/([uU]|[rR])'/,end:/'/,relevance:10},{begin:/([uU]|[rR])\"/,end:/\"/,relevance:10},{begin:/([bB]|[bB][rR]|[rR][bB])'/,end:/'/},{begin:/([bB]|[bB][rR]|[rR][bB])\"/,end:/\"/},{begin:/([fF][rR]|[rR][fF]|[fF])'/,end:/'/,contains:[a.BACKSLASH_ESCAPE,d,E]},{begin:/([fF][rR]|[rR][fF]|[fF])\"/,end:/\"/,contains:[a.BACKSLASH_ESCAPE,d,E]},a.APOS_STRING_MODE,a.QUOTE_STRING_MODE]},p=\"[0-9](_?[0-9])*\",T=`(\\\\b(${p}))?\\\\.(${p})|\\\\b(${p})\\\\.`,g={className:\"number\",relevance:0,variants:[{begin:`(\\\\b(${p})|(${T}))[eE][+-]?(${p})[jJ]?\\\\b`},{begin:`(${T})[jJ]?`},{begin:\"\\\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?\\\\b\"},{begin:\"\\\\b0[bB](_?[01])+[lL]?\\\\b\"},{begin:\"\\\\b0[oO](_?[0-7])+[lL]?\\\\b\"},{begin:\"\\\\b0[xX](_?[0-9a-fA-F])+[lL]?\\\\b\"},{begin:`\\\\b(${p})[jJ]\\\\b`}]},S={className:\"comment\",begin:e(/# type:/),end:/$/,keywords:_,contains:[{begin:/# type:/},{begin:/#/,end:/\\b\\B/,endsWithParent:!0}]},b={className:\"params\",variants:[{className:\"\",begin:/\\(\\s*\\)/,skip:!0},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:_,contains:[\"self\",c,g,u,a.HASH_COMMENT_MODE]}]};return E.contains=[u,g,c],{name:\"Python\",aliases:[\"py\",\"gyp\",\"ipython\"],keywords:_,illegal:/(<\\/|->|\\?)|=>/,contains:[c,g,{begin:/\\bself\\b/},{beginKeywords:\"if\",relevance:0},u,S,a.HASH_COMMENT_MODE,{variants:[{className:\"function\",beginKeywords:\"def\"},{className:\"class\",beginKeywords:\"class\"}],end:/:/,illegal:/[${=;\\n,]/,contains:[a.UNDERSCORE_TITLE_MODE,b,{begin:/->/,endsWithParent:!0,keywords:_}]},{className:\"meta\",begin:/^[\\t ]*@/,end:/(?=#)|$/,contains:[g,b,u]}]}}return ar=n,ar}var rr,sl;function Vd(){if(sl)return rr;sl=1;function t(e){return{aliases:[\"pycon\"],contains:[{className:\"meta\",starts:{end:/ |$/,starts:{end:\"$\",subLanguage:\"python\"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\\.\\.\\.(?=[ ]|$)/}]}]}}return rr=t,rr}var ir,ll;function Wd(){if(ll)return ir;ll=1;function t(e){return{name:\"Q\",aliases:[\"k\",\"kdb\"],keywords:{$pattern:/(`?)[A-Za-z0-9_]+\\b/,keyword:\"do while select delete by update from\",literal:\"0b 1b\",built_in:\"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum\",type:\"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid\"},contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return ir=t,ir}var or,cl;function zd(){if(cl)return or;cl=1;function t(n){return n?typeof n==\"string\"?n:n.source:null}function e(...n){return n.map(i=>t(i)).join(\"\")}function r(n){const a={keyword:\"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise\"},i=\"[a-zA-Z_][a-zA-Z0-9\\\\._]*\",o={className:\"keyword\",begin:\"\\\\bproperty\\\\b\",starts:{className:\"string\",end:\"(:|=|;|,|//|/\\\\*|$)\",returnEnd:!0}},s={className:\"keyword\",begin:\"\\\\bsignal\\\\b\",starts:{className:\"string\",end:\"(\\\\(|:|=|;|,|//|/\\\\*|$)\",returnEnd:!0}},l={className:\"attribute\",begin:\"\\\\bid\\\\s*:\",starts:{className:\"string\",end:i,returnEnd:!1}},_={begin:i+\"\\\\s*:\",returnBegin:!0,contains:[{className:\"attribute\",begin:i,end:\"\\\\s*:\",excludeEnd:!0,relevance:0}],relevance:0},c={begin:e(i,/\\s*\\{/),end:/\\{/,returnBegin:!0,relevance:0,contains:[n.inherit(n.TITLE_MODE,{begin:i})]};return{name:\"QML\",aliases:[\"qt\"],case_insensitive:!1,keywords:a,contains:[{className:\"meta\",begin:/^\\s*['\"]use (strict|asm)['\"]/},n.APOS_STRING_MODE,n.QUOTE_STRING_MODE,{className:\"string\",begin:\"`\",end:\"`\",contains:[n.BACKSLASH_ESCAPE,{className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\"}]},n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,{className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)\"},{begin:\"\\\\b(0[oO][0-7]+)\"},{begin:n.C_NUMBER_RE}],relevance:0},{begin:\"(\"+n.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE,n.REGEXP_MODE,{begin:/\\s*[);\\]]/,relevance:0,subLanguage:\"xml\"}],relevance:0},s,o,{className:\"function\",beginKeywords:\"function\",end:/\\{/,excludeEnd:!0,contains:[n.inherit(n.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:[n.C_LINE_COMMENT_MODE,n.C_BLOCK_COMMENT_MODE]}],illegal:/\\[|%/},{begin:\"\\\\.\"+n.IDENT_RE,relevance:0},l,_,c],illegal:/#/}}return or=r,or}var sr,_l;function Kd(){if(_l)return sr;_l=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(?=\",a,\")\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const i=/(?:(?:[a-zA-Z]|\\.[._a-zA-Z])[._a-zA-Z0-9]*)|\\.(?!\\d)/,o=/[a-zA-Z][a-zA-Z_0-9]*/;return{name:\"R\",illegal:/->/,keywords:{$pattern:i,keyword:\"function if in break next repeat else for while\",literal:\"NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10\",built_in:\"LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm\"},compilerExtensions:[(s,l)=>{if(!s.beforeMatch)return;if(s.starts)throw new Error(\"beforeMatch cannot be used with starts\");const _=Object.assign({},s);Object.keys(s).forEach(c=>{delete s[c]}),s.begin=r(_.beforeMatch,e(_.begin)),s.starts={relevance:0,contains:[Object.assign(_,{endsParent:!0})]},s.relevance=0,delete _.beforeMatch}],contains:[a.COMMENT(/#'/,/$/,{contains:[{className:\"doctag\",begin:\"@examples\",starts:{contains:[{begin:/\\n/},{begin:/#'\\s*(?=@[a-zA-Z]+)/,endsParent:!0},{begin:/#'/,end:/$/,excludeBegin:!0}]}},{className:\"doctag\",begin:\"@param\",end:/$/,contains:[{className:\"variable\",variants:[{begin:i},{begin:/`(?:\\\\.|[^`\\\\])+`/}],endsParent:!0}]},{className:\"doctag\",begin:/@[a-zA-Z]+/},{className:\"meta-keyword\",begin:/\\\\[a-zA-Z]+/}]}),a.HASH_COMMENT_MODE,{className:\"string\",contains:[a.BACKSLASH_ESCAPE],variants:[a.END_SAME_AS_BEGIN({begin:/[rR]\"(-*)\\(/,end:/\\)(-*)\"/}),a.END_SAME_AS_BEGIN({begin:/[rR]\"(-*)\\{/,end:/\\}(-*)\"/}),a.END_SAME_AS_BEGIN({begin:/[rR]\"(-*)\\[/,end:/\\](-*)\"/}),a.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\\(/,end:/\\)(-*)'/}),a.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\\{/,end:/\\}(-*)'/}),a.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\\[/,end:/\\](-*)'/}),{begin:'\"',end:'\"',relevance:0},{begin:\"'\",end:\"'\",relevance:0}]},{className:\"number\",relevance:0,beforeMatch:/([^a-zA-Z0-9._])/,variants:[{match:/0[xX][0-9a-fA-F]+\\.[0-9a-fA-F]*[pP][+-]?\\d+i?/},{match:/0[xX][0-9a-fA-F]+([pP][+-]?\\d+)?[Li]?/},{match:/(\\d+(\\.\\d*)?|\\.\\d+)([eE][+-]?\\d+)?[Li]?/}]},{begin:\"%\",end:\"%\"},{begin:r(o,\"\\\\s+<-\\\\s+\")},{begin:\"`\",end:\"`\",contains:[{begin:/\\\\./}]}]}}return sr=n,sr}var lr,dl;function $d(){if(dl)return lr;dl=1;function t(e){function r(A){return A.map(function(O){return O.split(\"\").map(function(P){return\"\\\\\"+P}).join(\"\")}).join(\"|\")}const n=\"~?[a-z$_][0-9a-zA-Z$_]*\",a=\"`?[A-Z$_][0-9a-zA-Z$_]*\",i=\"'?[a-z$_][0-9a-z$_]*\",o=\"\\\\s*:\\\\s*[a-z$_][0-9a-z$_]*(\\\\(\\\\s*(\"+i+\"\\\\s*(,\"+i+\"\\\\s*)*)?\\\\))?\",s=n+\"(\"+o+\"){0,2}\",l=\"(\"+r([\"||\",\"++\",\"**\",\"+.\",\"*\",\"/\",\"*.\",\"/.\",\"...\"])+\"|\\\\|>|&&|==|===)\",_=\"\\\\s+\"+l+\"\\\\s+\",c={keyword:\"and as asr assert begin class constraint do done downto else end exception external for fun function functor if in include inherit initializer land lazy let lor lsl lsr lxor match method mod module mutable new nonrec object of open or private rec sig struct then to try type val virtual when while with\",built_in:\"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit \",literal:\"true false\"},E=\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",d={className:\"number\",relevance:0,variants:[{begin:E},{begin:\"\\\\(-\"+E+\"\\\\)\"}]},u={className:\"operator\",relevance:0,begin:l},p=[{className:\"identifier\",relevance:0,begin:n},u,d],T=[e.QUOTE_STRING_MODE,u,{className:\"module\",begin:\"\\\\b\"+a,returnBegin:!0,end:\".\",contains:[{className:\"identifier\",begin:a,relevance:0}]}],g=[{className:\"module\",begin:\"\\\\b\"+a,returnBegin:!0,end:\".\",relevance:0,contains:[{className:\"identifier\",begin:a,relevance:0}]}],S={begin:n,end:\"(,|\\\\n|\\\\))\",relevance:0,contains:[u,{className:\"typing\",begin:\":\",end:\"(,|\\\\n)\",returnBegin:!0,relevance:0,contains:g}]},b={className:\"function\",relevance:0,keywords:c,variants:[{begin:\"\\\\s(\\\\(\\\\.?.*?\\\\)|\"+n+\")\\\\s*=>\",end:\"\\\\s*=>\",returnBegin:!0,relevance:0,contains:[{className:\"params\",variants:[{begin:n},{begin:s},{begin:/\\(\\s*\\)/}]}]},{begin:\"\\\\s\\\\(\\\\.?[^;\\\\|]*\\\\)\\\\s*=>\",end:\"\\\\s=>\",returnBegin:!0,relevance:0,contains:[{className:\"params\",relevance:0,variants:[S]}]},{begin:\"\\\\(\\\\.\\\\s\"+n+\"\\\\)\\\\s*=>\"}]};T.push(b);const f={className:\"constructor\",begin:a+\"\\\\(\",end:\"\\\\)\",illegal:\"\\\\n\",keywords:c,contains:[e.QUOTE_STRING_MODE,u,{className:\"params\",begin:\"\\\\b\"+n}]},C={className:\"pattern-match\",begin:\"\\\\|\",returnBegin:!0,keywords:c,end:\"=>\",relevance:0,contains:[f,u,{relevance:0,className:\"constructor\",begin:a}]},y={className:\"module-access\",keywords:c,returnBegin:!0,variants:[{begin:\"\\\\b(\"+a+\"\\\\.)+\"+n},{begin:\"\\\\b(\"+a+\"\\\\.)+\\\\(\",end:\"\\\\)\",returnBegin:!0,contains:[b,{begin:\"\\\\(\",end:\"\\\\)\",skip:!0}].concat(T)},{begin:\"\\\\b(\"+a+\"\\\\.)+\\\\{\",end:/\\}/}],contains:T};return g.push(y),{name:\"ReasonML\",aliases:[\"re\"],keywords:c,illegal:\"(:-|:=|\\\\$\\\\{|\\\\+=)\",contains:[e.COMMENT(\"/\\\\*\",\"\\\\*/\",{illegal:\"^(#,\\\\/\\\\/)\"}),{className:\"character\",begin:\"'(\\\\\\\\[^']+|[^'])'\",illegal:\"\\\\n\",relevance:0},e.QUOTE_STRING_MODE,{className:\"literal\",begin:\"\\\\(\\\\)\",relevance:0},{className:\"literal\",begin:\"\\\\[\\\\|\",end:\"\\\\|\\\\]\",relevance:0,contains:p},{className:\"literal\",begin:\"\\\\[\",end:\"\\\\]\",relevance:0,contains:p},f,{className:\"operator\",begin:_,illegal:\"-->\",relevance:0},d,e.C_LINE_COMMENT_MODE,C,b,{className:\"module-def\",begin:\"\\\\bmodule\\\\s+\"+n+\"\\\\s+\"+a+\"\\\\s+=\\\\s+\\\\{\",end:/\\}/,returnBegin:!0,keywords:c,relevance:0,contains:[{className:\"module\",relevance:0,begin:a},{begin:/\\{/,end:/\\}/,skip:!0}].concat(T)},y]}}return lr=t,lr}var cr,ul;function Qd(){if(ul)return cr;ul=1;function t(e){return{name:\"RenderMan RIB\",keywords:\"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd\",illegal:\"/}],illegal:/./},e.COMMENT(\"^#\",\"$\"),l,_,s,{begin:/[\\w-]+=([^\\s{}[\\]()>]+)/,relevance:0,returnBegin:!0,contains:[{className:\"attribute\",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[l,_,s,{className:\"literal\",begin:\"\\\\b(\"+i.split(\" \").join(\"|\")+\")\\\\b\"},{begin:/(\"[^\"]*\"|[^\\s{}[\\]]+)/}]}]},{className:\"number\",begin:/\\*[0-9a-fA-F]+/},{begin:\"\\\\b(\"+a.split(\" \").join(\"|\")+\")([\\\\s[(\\\\]|])\",returnBegin:!0,contains:[{className:\"builtin-name\",begin:/\\w+/}]},{className:\"built_in\",variants:[{begin:\"(\\\\.\\\\./|/|\\\\s)((\"+o.split(\" \").join(\"|\")+\");?\\\\s)+\"},{begin:/\\.\\./,relevance:0}]}]}}return dr=t,dr}var ur,pl;function Jd(){if(pl)return ur;pl=1;function t(e){return{name:\"RenderMan RSL\",keywords:{keyword:\"float color point normal vector matrix while for if do return else break extern continue\",built_in:\"abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp faceforward filterstep floor format fresnel incident length lightsource log match max min mod noise normalize ntransform opposite option phong pnoise pow printf ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan texture textureinfo trace transform vtransform xcomp ycomp zcomp\"},illegal:\"\"}]}}return Er=t,Er}var pr,Tl;function tu(){if(Tl)return pr;Tl=1;function t(e){return{name:\"SAS\",case_insensitive:!0,keywords:{literal:\"null missing _all_ _automatic_ _character_ _infile_ _n_ _name_ _null_ _numeric_ _user_ _webout_\",meta:\"do if then else end until while abort array attrib by call cards cards4 catname continue datalines datalines4 delete delim delimiter display dm drop endsas error file filename footnote format goto in infile informat input keep label leave length libname link list lostcard merge missing modify options output out page put redirect remove rename replace retain return select set skip startsas stop title update waitsas where window x systask add and alter as cascade check create delete describe distinct drop foreign from group having index insert into in key like message modify msgtype not null on or order primary references reset restrict select set table unique update validate view where\"},contains:[{className:\"keyword\",begin:/^\\s*(proc [\\w\\d_]+|data|run|quit)[\\s;]/},{className:\"variable\",begin:/&[a-zA-Z_&][a-zA-Z0-9_]*\\.?/},{className:\"emphasis\",begin:/^\\s*datalines|cards.*;/,end:/^\\s*;\\s*$/},{className:\"built_in\",begin:\"%(\"+\"bquote|nrbquote|cmpres|qcmpres|compstor|datatyp|display|do|else|end|eval|global|goto|if|index|input|keydef|label|left|length|let|local|lowcase|macro|mend|nrbquote|nrquote|nrstr|put|qcmpres|qleft|qlowcase|qscan|qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|substr|superq|syscall|sysevalf|sysexec|sysfunc|sysget|syslput|sysprod|sysrc|sysrput|then|to|trim|unquote|until|upcase|verify|while|window\"+\")\"},{className:\"name\",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:\"meta\",begin:\"[^%](\"+\"abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|cexist|cinv|close|cnonct|collate|compbl|compound|compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|filename|fileref|finfo|finv|fipname|fipnamel|fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|hms|hosthelp|hour|ibessel|index|indexc|indexw|input|inputc|inputn|int|intck|intnx|intrr|irr|jbessel|juldate|kurtosis|lag|lbound|left|length|lgamma|libname|libref|log|log10|log2|logpdf|logpmf|logsdf|lowcase|max|mdy|mean|min|minute|mod|month|mopen|mort|n|netpv|nmiss|normal|note|npv|open|ordinal|pathname|pdf|peek|peekc|pmf|point|poisson|poke|probbeta|probbnml|probchi|probf|probgam|probhypr|probit|probnegb|probnorm|probt|put|putc|putn|qtr|quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|rewind|right|round|saving|scan|sdf|second|sign|sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|stfips|stname|stnamel|substr|sum|symget|sysget|sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|tinv|tnonct|today|translate|tranwrd|trigamma|trim|trimn|trunc|uniform|upcase|uss|var|varfmt|varinfmt|varlabel|varlen|varname|varnum|varray|varrayx|vartype|verify|vformat|vformatd|vformatdx|vformatn|vformatnx|vformatw|vformatwx|vformatx|vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|vinformatn|vinformatnx|vinformatw|vinformatwx|vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|zipnamel|zipstate\"+\")[(]\"},{className:\"string\",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT(\"\\\\*\",\";\"),e.C_BLOCK_COMMENT_MODE]}}return pr=t,pr}var gr,bl;function nu(){if(bl)return gr;bl=1;function t(e){const r={className:\"meta\",begin:\"@[A-Za-z]+\"},n={className:\"subst\",variants:[{begin:\"\\\\$[A-Za-z0-9_]+\"},{begin:/\\$\\{/,end:/\\}/}]},a={className:\"string\",variants:[{begin:'\"\"\"',end:'\"\"\"'},{begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE]},{begin:'[a-z]+\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,n]},{className:\"string\",begin:'[a-z]+\"\"\"',end:'\"\"\"',contains:[n],relevance:10}]},i={className:\"symbol\",begin:\"'\\\\w[\\\\w\\\\d_]*(?!')\"},o={className:\"type\",begin:\"\\\\b[A-Z][A-Za-z0-9_]*\",relevance:0},s={className:\"title\",begin:/[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/,relevance:0},l={className:\"class\",beginKeywords:\"class object trait type\",end:/[:={\\[\\n;]/,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:\"extends with\",relevance:10},{begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[o]},{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[o]},s]},_={className:\"function\",beginKeywords:\"def\",end:/[:={\\[(\\n;]/,excludeEnd:!0,contains:[s]};return{name:\"Scala\",keywords:{literal:\"true false null\",keyword:\"type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,i,o,_,l,e.C_NUMBER_MODE,r]}}return gr=t,gr}var Sr,Rl;function au(){if(Rl)return Sr;Rl=1;function t(e){const r=\"[^\\\\(\\\\)\\\\[\\\\]\\\\{\\\\}\\\",'`;#|\\\\\\\\\\\\s]+\",n=\"(-|\\\\+)?\\\\d+([./]\\\\d+)?\",a=n+\"[+\\\\-]\"+n+\"i\",i={$pattern:r,\"builtin-name\":\"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?\"},o={className:\"literal\",begin:\"(#t|#f|#\\\\\\\\\"+r+\"|#\\\\\\\\.)\"},s={className:\"number\",variants:[{begin:n,relevance:0},{begin:a,relevance:0},{begin:\"#b[0-1]+(/[0-1]+)?\"},{begin:\"#o[0-7]+(/[0-7]+)?\"},{begin:\"#x[0-9a-f]+(/[0-9a-f]+)?\"}]},l=e.QUOTE_STRING_MODE,_=[e.COMMENT(\";\",\"$\",{relevance:0}),e.COMMENT(\"#\\\\|\",\"\\\\|#\")],c={begin:r,relevance:0},E={className:\"symbol\",begin:\"'\"+r},d={endsWithParent:!0,relevance:0},u={variants:[{begin:/'/},{begin:\"`\"}],contains:[{begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",o,l,s,c,E]}]},p={className:\"name\",relevance:0,begin:r,keywords:i},g={variants:[{begin:\"\\\\(\",end:\"\\\\)\"},{begin:\"\\\\[\",end:\"\\\\]\"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[p,{endsParent:!0,variants:[{begin:/\\(/,end:/\\)/},{begin:/\\[/,end:/\\]/}],contains:[c]}]},p,d]};return d.contains=[o,s,l,c,E,u,g].concat(_),{name:\"Scheme\",illegal:/\\S/,contains:[e.SHEBANG(),s,l,E,u,g].concat(_)}}return Sr=t,Sr}var Tr,fl;function ru(){if(fl)return Tr;fl=1;function t(e){const r=[e.C_NUMBER_MODE,{className:\"string\",begin:`'|\"`,end:`'|\"`,contains:[e.BACKSLASH_ESCAPE,{begin:\"''\"}]}];return{name:\"Scilab\",aliases:[\"sci\"],keywords:{$pattern:/%?\\w+/,keyword:\"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while\",literal:\"%f %F %t %T %pi %eps %inf %nan %e %i %z %s\",built_in:\"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix\"},illegal:'(\"|#|/\\\\*|\\\\s+/\\\\w+)',contains:[{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},{begin:\"[a-zA-Z_][a-zA-Z_0-9]*[\\\\.']+\",relevance:0},{begin:\"\\\\[\",end:\"\\\\][\\\\.']*\",relevance:0,contains:r},e.COMMENT(\"//\",\"$\")].concat(r)}}return Tr=t,Tr}var br,Nl;function iu(){if(Nl)return br;Nl=1;const t=s=>({IMPORTANT:{className:\"meta\",begin:\"!important\"},HEXCOLOR:{className:\"number\",begin:\"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})\"},ATTRIBUTE_SELECTOR_MODE:{className:\"selector-attr\",begin:/\\[/,end:/\\]/,illegal:\"$\",contains:[s.APOS_STRING_MODE,s.QUOTE_STRING_MODE]}}),e=[\"a\",\"abbr\",\"address\",\"article\",\"aside\",\"audio\",\"b\",\"blockquote\",\"body\",\"button\",\"canvas\",\"caption\",\"cite\",\"code\",\"dd\",\"del\",\"details\",\"dfn\",\"div\",\"dl\",\"dt\",\"em\",\"fieldset\",\"figcaption\",\"figure\",\"footer\",\"form\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"header\",\"hgroup\",\"html\",\"i\",\"iframe\",\"img\",\"input\",\"ins\",\"kbd\",\"label\",\"legend\",\"li\",\"main\",\"mark\",\"menu\",\"nav\",\"object\",\"ol\",\"p\",\"q\",\"quote\",\"samp\",\"section\",\"span\",\"strong\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"textarea\",\"tfoot\",\"th\",\"thead\",\"time\",\"tr\",\"ul\",\"var\",\"video\"],r=[\"any-hover\",\"any-pointer\",\"aspect-ratio\",\"color\",\"color-gamut\",\"color-index\",\"device-aspect-ratio\",\"device-height\",\"device-width\",\"display-mode\",\"forced-colors\",\"grid\",\"height\",\"hover\",\"inverted-colors\",\"monochrome\",\"orientation\",\"overflow-block\",\"overflow-inline\",\"pointer\",\"prefers-color-scheme\",\"prefers-contrast\",\"prefers-reduced-motion\",\"prefers-reduced-transparency\",\"resolution\",\"scan\",\"scripting\",\"update\",\"width\",\"min-width\",\"max-width\",\"min-height\",\"max-height\"],n=[\"active\",\"any-link\",\"blank\",\"checked\",\"current\",\"default\",\"defined\",\"dir\",\"disabled\",\"drop\",\"empty\",\"enabled\",\"first\",\"first-child\",\"first-of-type\",\"fullscreen\",\"future\",\"focus\",\"focus-visible\",\"focus-within\",\"has\",\"host\",\"host-context\",\"hover\",\"indeterminate\",\"in-range\",\"invalid\",\"is\",\"lang\",\"last-child\",\"last-of-type\",\"left\",\"link\",\"local-link\",\"not\",\"nth-child\",\"nth-col\",\"nth-last-child\",\"nth-last-col\",\"nth-last-of-type\",\"nth-of-type\",\"only-child\",\"only-of-type\",\"optional\",\"out-of-range\",\"past\",\"placeholder-shown\",\"read-only\",\"read-write\",\"required\",\"right\",\"root\",\"scope\",\"target\",\"target-within\",\"user-invalid\",\"valid\",\"visited\",\"where\"],a=[\"after\",\"backdrop\",\"before\",\"cue\",\"cue-region\",\"first-letter\",\"first-line\",\"grammar-error\",\"marker\",\"part\",\"placeholder\",\"selection\",\"slotted\",\"spelling-error\"],i=[\"align-content\",\"align-items\",\"align-self\",\"animation\",\"animation-delay\",\"animation-direction\",\"animation-duration\",\"animation-fill-mode\",\"animation-iteration-count\",\"animation-name\",\"animation-play-state\",\"animation-timing-function\",\"auto\",\"backface-visibility\",\"background\",\"background-attachment\",\"background-clip\",\"background-color\",\"background-image\",\"background-origin\",\"background-position\",\"background-repeat\",\"background-size\",\"border\",\"border-bottom\",\"border-bottom-color\",\"border-bottom-left-radius\",\"border-bottom-right-radius\",\"border-bottom-style\",\"border-bottom-width\",\"border-collapse\",\"border-color\",\"border-image\",\"border-image-outset\",\"border-image-repeat\",\"border-image-slice\",\"border-image-source\",\"border-image-width\",\"border-left\",\"border-left-color\",\"border-left-style\",\"border-left-width\",\"border-radius\",\"border-right\",\"border-right-color\",\"border-right-style\",\"border-right-width\",\"border-spacing\",\"border-style\",\"border-top\",\"border-top-color\",\"border-top-left-radius\",\"border-top-right-radius\",\"border-top-style\",\"border-top-width\",\"border-width\",\"bottom\",\"box-decoration-break\",\"box-shadow\",\"box-sizing\",\"break-after\",\"break-before\",\"break-inside\",\"caption-side\",\"clear\",\"clip\",\"clip-path\",\"color\",\"column-count\",\"column-fill\",\"column-gap\",\"column-rule\",\"column-rule-color\",\"column-rule-style\",\"column-rule-width\",\"column-span\",\"column-width\",\"columns\",\"content\",\"counter-increment\",\"counter-reset\",\"cursor\",\"direction\",\"display\",\"empty-cells\",\"filter\",\"flex\",\"flex-basis\",\"flex-direction\",\"flex-flow\",\"flex-grow\",\"flex-shrink\",\"flex-wrap\",\"float\",\"font\",\"font-display\",\"font-family\",\"font-feature-settings\",\"font-kerning\",\"font-language-override\",\"font-size\",\"font-size-adjust\",\"font-smoothing\",\"font-stretch\",\"font-style\",\"font-variant\",\"font-variant-ligatures\",\"font-variation-settings\",\"font-weight\",\"height\",\"hyphens\",\"icon\",\"image-orientation\",\"image-rendering\",\"image-resolution\",\"ime-mode\",\"inherit\",\"initial\",\"justify-content\",\"left\",\"letter-spacing\",\"line-height\",\"list-style\",\"list-style-image\",\"list-style-position\",\"list-style-type\",\"margin\",\"margin-bottom\",\"margin-left\",\"margin-right\",\"margin-top\",\"marks\",\"mask\",\"max-height\",\"max-width\",\"min-height\",\"min-width\",\"nav-down\",\"nav-index\",\"nav-left\",\"nav-right\",\"nav-up\",\"none\",\"normal\",\"object-fit\",\"object-position\",\"opacity\",\"order\",\"orphans\",\"outline\",\"outline-color\",\"outline-offset\",\"outline-style\",\"outline-width\",\"overflow\",\"overflow-wrap\",\"overflow-x\",\"overflow-y\",\"padding\",\"padding-bottom\",\"padding-left\",\"padding-right\",\"padding-top\",\"page-break-after\",\"page-break-before\",\"page-break-inside\",\"perspective\",\"perspective-origin\",\"pointer-events\",\"position\",\"quotes\",\"resize\",\"right\",\"src\",\"tab-size\",\"table-layout\",\"text-align\",\"text-align-last\",\"text-decoration\",\"text-decoration-color\",\"text-decoration-line\",\"text-decoration-style\",\"text-indent\",\"text-overflow\",\"text-rendering\",\"text-shadow\",\"text-transform\",\"text-underline-position\",\"top\",\"transform\",\"transform-origin\",\"transform-style\",\"transition\",\"transition-delay\",\"transition-duration\",\"transition-property\",\"transition-timing-function\",\"unicode-bidi\",\"vertical-align\",\"visibility\",\"white-space\",\"widows\",\"width\",\"word-break\",\"word-spacing\",\"word-wrap\",\"z-index\"].reverse();function o(s){const l=t(s),_=a,c=n,E=\"@[a-z-]+\",d=\"and or not only\",p={className:\"variable\",begin:\"(\\\\$\"+\"[a-zA-Z-][a-zA-Z0-9_-]*\"+\")\\\\b\"};return{name:\"SCSS\",case_insensitive:!0,illegal:\"[=/|']\",contains:[s.C_LINE_COMMENT_MODE,s.C_BLOCK_COMMENT_MODE,{className:\"selector-id\",begin:\"#[A-Za-z0-9_-]+\",relevance:0},{className:\"selector-class\",begin:\"\\\\.[A-Za-z0-9_-]+\",relevance:0},l.ATTRIBUTE_SELECTOR_MODE,{className:\"selector-tag\",begin:\"\\\\b(\"+e.join(\"|\")+\")\\\\b\",relevance:0},{className:\"selector-pseudo\",begin:\":(\"+c.join(\"|\")+\")\"},{className:\"selector-pseudo\",begin:\"::(\"+_.join(\"|\")+\")\"},p,{begin:/\\(/,end:/\\)/,contains:[s.CSS_NUMBER_MODE]},{className:\"attribute\",begin:\"\\\\b(\"+i.join(\"|\")+\")\\\\b\"},{begin:\"\\\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\\\b\"},{begin:\":\",end:\";\",contains:[p,l.HEXCOLOR,s.CSS_NUMBER_MODE,s.QUOTE_STRING_MODE,s.APOS_STRING_MODE,l.IMPORTANT]},{begin:\"@(page|font-face)\",lexemes:E,keywords:\"@page @font-face\"},{begin:\"@\",end:\"[{;]\",returnBegin:!0,keywords:{$pattern:/[a-z-]+/,keyword:d,attribute:r.join(\" \")},contains:[{begin:E,className:\"keyword\"},{begin:/[a-z-]+(?=:)/,className:\"attribute\"},p,s.QUOTE_STRING_MODE,s.APOS_STRING_MODE,l.HEXCOLOR,s.CSS_NUMBER_MODE]}]}}return br=o,br}var Rr,Cl;function ou(){if(Cl)return Rr;Cl=1;function t(e){return{name:\"Shell Session\",aliases:[\"console\"],contains:[{className:\"meta\",begin:/^\\s{0,3}[/~\\w\\d[\\]()@-]*[>%$#]/,starts:{end:/[^\\\\](?=\\s*$)/,subLanguage:\"bash\"}}]}}return Rr=t,Rr}var fr,Ol;function su(){if(Ol)return fr;Ol=1;function t(e){const r=[\"add\",\"and\",\"cmp\",\"cmpg\",\"cmpl\",\"const\",\"div\",\"double\",\"float\",\"goto\",\"if\",\"int\",\"long\",\"move\",\"mul\",\"neg\",\"new\",\"nop\",\"not\",\"or\",\"rem\",\"return\",\"shl\",\"shr\",\"sput\",\"sub\",\"throw\",\"ushr\",\"xor\"],n=[\"aget\",\"aput\",\"array\",\"check\",\"execute\",\"fill\",\"filled\",\"goto/16\",\"goto/32\",\"iget\",\"instance\",\"invoke\",\"iput\",\"monitor\",\"packed\",\"sget\",\"sparse\"],a=[\"transient\",\"constructor\",\"abstract\",\"final\",\"synthetic\",\"public\",\"private\",\"protected\",\"static\",\"bridge\",\"system\"];return{name:\"Smali\",contains:[{className:\"string\",begin:'\"',end:'\"',relevance:0},e.COMMENT(\"#\",\"$\",{relevance:0}),{className:\"keyword\",variants:[{begin:\"\\\\s*\\\\.end\\\\s[a-zA-Z0-9]*\"},{begin:\"^[ ]*\\\\.[a-zA-Z]*\",relevance:0},{begin:\"\\\\s:[a-zA-Z_0-9]*\",relevance:0},{begin:\"\\\\s(\"+a.join(\"|\")+\")\"}]},{className:\"built_in\",variants:[{begin:\"\\\\s(\"+r.join(\"|\")+\")\\\\s\"},{begin:\"\\\\s(\"+r.join(\"|\")+\")((-|/)[a-zA-Z0-9]+)+\\\\s\",relevance:10},{begin:\"\\\\s(\"+n.join(\"|\")+\")((-|/)[a-zA-Z0-9]+)*\\\\s\",relevance:10}]},{className:\"class\",begin:`L[^(;:\n]*;`,relevance:0},{begin:\"[vp][0-9]+\"}]}}return fr=t,fr}var Nr,Il;function lu(){if(Il)return Nr;Il=1;function t(e){const r=\"[a-z][a-zA-Z0-9_]*\",n={className:\"string\",begin:\"\\\\$.{1}\"},a={className:\"symbol\",begin:\"#\"+e.UNDERSCORE_IDENT_RE};return{name:\"Smalltalk\",aliases:[\"st\"],keywords:\"self super nil true false thisContext\",contains:[e.COMMENT('\"','\"'),e.APOS_STRING_MODE,{className:\"type\",begin:\"\\\\b[A-Z][A-Za-z0-9_]*\",relevance:0},{begin:r+\":\",relevance:0},e.C_NUMBER_MODE,a,n,{begin:\"\\\\|[ ]*\"+r+\"([ ]+\"+r+\")*[ ]*\\\\|\",returnBegin:!0,end:/\\|/,illegal:/\\S/,contains:[{begin:\"(\\\\|[ ]*)?\"+r}]},{begin:\"#\\\\(\",end:\"\\\\)\",contains:[e.APOS_STRING_MODE,n,e.C_NUMBER_MODE,a]}]}}return Nr=t,Nr}var Cr,Al;function cu(){if(Al)return Cr;Al=1;function t(e){return{name:\"SML (Standard ML)\",aliases:[\"ml\"],keywords:{$pattern:\"[a-z_]\\\\w*!?\",keyword:\"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while\",built_in:\"array bool char exn int list option order real ref string substring vector unit word\",literal:\"true false NONE SOME LESS EQUAL GREATER nil\"},illegal:/\\/\\/|>>/,contains:[{className:\"literal\",begin:/\\[(\\|\\|)?\\]|\\(\\)/,relevance:0},e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\"]}),{className:\"symbol\",begin:\"'[A-Za-z_](?!')[\\\\w']*\"},{className:\"type\",begin:\"`[A-Z][\\\\w']*\"},{className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},{begin:\"[a-z_]\\\\w*'[\\\\w']*\"},e.inherit(e.APOS_STRING_MODE,{className:\"string\",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"number\",begin:\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",relevance:0},{begin:/[-=]>/}]}}return Cr=t,Cr}var Or,vl;function _u(){if(vl)return Or;vl=1;function t(e){const r={className:\"variable\",begin:/\\b_+[a-zA-Z]\\w*/},n={className:\"title\",begin:/[a-zA-Z][a-zA-Z0-9]+_fnc_\\w*/},a={className:\"string\",variants:[{begin:'\"',end:'\"',contains:[{begin:'\"\"',relevance:0}]},{begin:\"'\",end:\"'\",contains:[{begin:\"''\",relevance:0}]}]},i={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"define undef ifdef ifndef else endif include\"},contains:[{begin:/\\\\\\n/,relevance:0},e.inherit(a,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<[^\\n>]*>/,end:/$/,illegal:\"\\\\n\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:\"SQF\",case_insensitive:!0,keywords:{keyword:\"case catch default do else exit exitWith for forEach from if private switch then throw to try waitUntil while with\",built_in:\"abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal completedFSM composeText configClasses configFile configHierarchy configName configProperties configSourceAddonList configSourceMod configSourceModList confirmSensorTarget connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation formationDirection formationLeader formationMembers formationPosition formationTask formatText formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth switchableUnits switchAction switchCamera switchGesture switchLight switchMove synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind \",literal:\"blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic sideUnknown taskNull teamMemberNull true west\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,r,n,a,i],illegal:/#|^\\$ /}}return Or=t,Or}var Ir,yl;function du(){if(yl)return Ir;yl=1;function t(e){var r=e.COMMENT(\"--\",\"$\");return{name:\"SQL (more)\",aliases:[\"mysql\",\"oracle\"],disableAutodetect:!0,case_insensitive:!0,illegal:/[<>{}*]/,contains:[{beginKeywords:\"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke comment values with\",end:/;/,endsWithParent:!0,keywords:{$pattern:/[\\w\\.]+/,keyword:\"as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external external_1 external_2 externally extract failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select self semi sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek\",literal:\"true false null unknown\",built_in:\"array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},{className:\"string\",begin:'\"',end:'\"',contains:[{begin:'\"\"'}]},{className:\"string\",begin:\"`\",end:\"`\"},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,r,e.HASH_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE,r,e.HASH_COMMENT_MODE]}}return Ir=t,Ir}var Ar,Dl;function uu(){if(Dl)return Ar;Dl=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i=a.COMMENT(\"--\",\"$\"),o={className:\"string\",variants:[{begin:/'/,end:/'/,contains:[{begin:/''/}]}]},s={begin:/\"/,end:/\"/,contains:[{begin:/\"\"/}]},l=[\"true\",\"false\",\"unknown\"],_=[\"double precision\",\"large object\",\"with timezone\",\"without timezone\"],c=[\"bigint\",\"binary\",\"blob\",\"boolean\",\"char\",\"character\",\"clob\",\"date\",\"dec\",\"decfloat\",\"decimal\",\"float\",\"int\",\"integer\",\"interval\",\"nchar\",\"nclob\",\"national\",\"numeric\",\"real\",\"row\",\"smallint\",\"time\",\"timestamp\",\"varchar\",\"varying\",\"varbinary\"],E=[\"add\",\"asc\",\"collation\",\"desc\",\"final\",\"first\",\"last\",\"view\"],d=[\"abs\",\"acos\",\"all\",\"allocate\",\"alter\",\"and\",\"any\",\"are\",\"array\",\"array_agg\",\"array_max_cardinality\",\"as\",\"asensitive\",\"asin\",\"asymmetric\",\"at\",\"atan\",\"atomic\",\"authorization\",\"avg\",\"begin\",\"begin_frame\",\"begin_partition\",\"between\",\"bigint\",\"binary\",\"blob\",\"boolean\",\"both\",\"by\",\"call\",\"called\",\"cardinality\",\"cascaded\",\"case\",\"cast\",\"ceil\",\"ceiling\",\"char\",\"char_length\",\"character\",\"character_length\",\"check\",\"classifier\",\"clob\",\"close\",\"coalesce\",\"collate\",\"collect\",\"column\",\"commit\",\"condition\",\"connect\",\"constraint\",\"contains\",\"convert\",\"copy\",\"corr\",\"corresponding\",\"cos\",\"cosh\",\"count\",\"covar_pop\",\"covar_samp\",\"create\",\"cross\",\"cube\",\"cume_dist\",\"current\",\"current_catalog\",\"current_date\",\"current_default_transform_group\",\"current_path\",\"current_role\",\"current_row\",\"current_schema\",\"current_time\",\"current_timestamp\",\"current_path\",\"current_role\",\"current_transform_group_for_type\",\"current_user\",\"cursor\",\"cycle\",\"date\",\"day\",\"deallocate\",\"dec\",\"decimal\",\"decfloat\",\"declare\",\"default\",\"define\",\"delete\",\"dense_rank\",\"deref\",\"describe\",\"deterministic\",\"disconnect\",\"distinct\",\"double\",\"drop\",\"dynamic\",\"each\",\"element\",\"else\",\"empty\",\"end\",\"end_frame\",\"end_partition\",\"end-exec\",\"equals\",\"escape\",\"every\",\"except\",\"exec\",\"execute\",\"exists\",\"exp\",\"external\",\"extract\",\"false\",\"fetch\",\"filter\",\"first_value\",\"float\",\"floor\",\"for\",\"foreign\",\"frame_row\",\"free\",\"from\",\"full\",\"function\",\"fusion\",\"get\",\"global\",\"grant\",\"group\",\"grouping\",\"groups\",\"having\",\"hold\",\"hour\",\"identity\",\"in\",\"indicator\",\"initial\",\"inner\",\"inout\",\"insensitive\",\"insert\",\"int\",\"integer\",\"intersect\",\"intersection\",\"interval\",\"into\",\"is\",\"join\",\"json_array\",\"json_arrayagg\",\"json_exists\",\"json_object\",\"json_objectagg\",\"json_query\",\"json_table\",\"json_table_primitive\",\"json_value\",\"lag\",\"language\",\"large\",\"last_value\",\"lateral\",\"lead\",\"leading\",\"left\",\"like\",\"like_regex\",\"listagg\",\"ln\",\"local\",\"localtime\",\"localtimestamp\",\"log\",\"log10\",\"lower\",\"match\",\"match_number\",\"match_recognize\",\"matches\",\"max\",\"member\",\"merge\",\"method\",\"min\",\"minute\",\"mod\",\"modifies\",\"module\",\"month\",\"multiset\",\"national\",\"natural\",\"nchar\",\"nclob\",\"new\",\"no\",\"none\",\"normalize\",\"not\",\"nth_value\",\"ntile\",\"null\",\"nullif\",\"numeric\",\"octet_length\",\"occurrences_regex\",\"of\",\"offset\",\"old\",\"omit\",\"on\",\"one\",\"only\",\"open\",\"or\",\"order\",\"out\",\"outer\",\"over\",\"overlaps\",\"overlay\",\"parameter\",\"partition\",\"pattern\",\"per\",\"percent\",\"percent_rank\",\"percentile_cont\",\"percentile_disc\",\"period\",\"portion\",\"position\",\"position_regex\",\"power\",\"precedes\",\"precision\",\"prepare\",\"primary\",\"procedure\",\"ptf\",\"range\",\"rank\",\"reads\",\"real\",\"recursive\",\"ref\",\"references\",\"referencing\",\"regr_avgx\",\"regr_avgy\",\"regr_count\",\"regr_intercept\",\"regr_r2\",\"regr_slope\",\"regr_sxx\",\"regr_sxy\",\"regr_syy\",\"release\",\"result\",\"return\",\"returns\",\"revoke\",\"right\",\"rollback\",\"rollup\",\"row\",\"row_number\",\"rows\",\"running\",\"savepoint\",\"scope\",\"scroll\",\"search\",\"second\",\"seek\",\"select\",\"sensitive\",\"session_user\",\"set\",\"show\",\"similar\",\"sin\",\"sinh\",\"skip\",\"smallint\",\"some\",\"specific\",\"specifictype\",\"sql\",\"sqlexception\",\"sqlstate\",\"sqlwarning\",\"sqrt\",\"start\",\"static\",\"stddev_pop\",\"stddev_samp\",\"submultiset\",\"subset\",\"substring\",\"substring_regex\",\"succeeds\",\"sum\",\"symmetric\",\"system\",\"system_time\",\"system_user\",\"table\",\"tablesample\",\"tan\",\"tanh\",\"then\",\"time\",\"timestamp\",\"timezone_hour\",\"timezone_minute\",\"to\",\"trailing\",\"translate\",\"translate_regex\",\"translation\",\"treat\",\"trigger\",\"trim\",\"trim_array\",\"true\",\"truncate\",\"uescape\",\"union\",\"unique\",\"unknown\",\"unnest\",\"update \",\"upper\",\"user\",\"using\",\"value\",\"values\",\"value_of\",\"var_pop\",\"var_samp\",\"varbinary\",\"varchar\",\"varying\",\"versioning\",\"when\",\"whenever\",\"where\",\"width_bucket\",\"window\",\"with\",\"within\",\"without\",\"year\"],u=[\"abs\",\"acos\",\"array_agg\",\"asin\",\"atan\",\"avg\",\"cast\",\"ceil\",\"ceiling\",\"coalesce\",\"corr\",\"cos\",\"cosh\",\"count\",\"covar_pop\",\"covar_samp\",\"cume_dist\",\"dense_rank\",\"deref\",\"element\",\"exp\",\"extract\",\"first_value\",\"floor\",\"json_array\",\"json_arrayagg\",\"json_exists\",\"json_object\",\"json_objectagg\",\"json_query\",\"json_table\",\"json_table_primitive\",\"json_value\",\"lag\",\"last_value\",\"lead\",\"listagg\",\"ln\",\"log\",\"log10\",\"lower\",\"max\",\"min\",\"mod\",\"nth_value\",\"ntile\",\"nullif\",\"percent_rank\",\"percentile_cont\",\"percentile_disc\",\"position\",\"position_regex\",\"power\",\"rank\",\"regr_avgx\",\"regr_avgy\",\"regr_count\",\"regr_intercept\",\"regr_r2\",\"regr_slope\",\"regr_sxx\",\"regr_sxy\",\"regr_syy\",\"row_number\",\"sin\",\"sinh\",\"sqrt\",\"stddev_pop\",\"stddev_samp\",\"substring\",\"substring_regex\",\"sum\",\"tan\",\"tanh\",\"translate\",\"translate_regex\",\"treat\",\"trim\",\"trim_array\",\"unnest\",\"upper\",\"value_of\",\"var_pop\",\"var_samp\",\"width_bucket\"],p=[\"current_catalog\",\"current_date\",\"current_default_transform_group\",\"current_path\",\"current_role\",\"current_schema\",\"current_transform_group_for_type\",\"current_user\",\"session_user\",\"system_time\",\"system_user\",\"current_time\",\"localtime\",\"current_timestamp\",\"localtimestamp\"],T=[\"create table\",\"insert into\",\"primary key\",\"foreign key\",\"not null\",\"alter table\",\"add constraint\",\"grouping sets\",\"on overflow\",\"character set\",\"respect nulls\",\"ignore nulls\",\"nulls first\",\"nulls last\",\"depth first\",\"breadth first\"],g=u,S=[...d,...E].filter(A=>!u.includes(A)),b={className:\"variable\",begin:/@[a-z0-9]+/},f={className:\"operator\",begin:/[-+*/=%^~]|&&?|\\|\\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,relevance:0},C={begin:e(/\\b/,r(...g),/\\s*\\(/),keywords:{built_in:g}};function y(A,{exceptions:O,when:P}={}){const w=P;return O=O||[],A.map(F=>F.match(/\\|\\d+$/)||O.includes(F)?F:w(F)?`${F}|0`:F)}return{name:\"SQL\",case_insensitive:!0,illegal:/[{}]|<\\//,keywords:{$pattern:/\\b[\\w\\.]+/,keyword:y(S,{when:A=>A.length<3}),literal:l,type:c,built_in:p},contains:[{begin:r(...T),keywords:{$pattern:/[\\w\\.]+/,keyword:S.concat(T),literal:l,type:c}},{className:\"type\",begin:r(..._)},C,b,o,s,a.C_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE,i,f]}}return Ar=n,Ar}var vr,hl;function mu(){if(hl)return vr;hl=1;function t(e){const r=[\"functions\",\"model\",\"data\",\"parameters\",\"quantities\",\"transformed\",\"generated\"],n=[\"for\",\"in\",\"if\",\"else\",\"while\",\"break\",\"continue\",\"return\"],a=[\"print\",\"reject\",\"increment_log_prob|10\",\"integrate_ode|10\",\"integrate_ode_rk45|10\",\"integrate_ode_bdf|10\",\"algebra_solver\"],i=[\"int\",\"real\",\"vector\",\"ordered\",\"positive_ordered\",\"simplex\",\"unit_vector\",\"row_vector\",\"matrix\",\"cholesky_factor_corr|10\",\"cholesky_factor_cov|10\",\"corr_matrix|10\",\"cov_matrix|10\",\"void\"],o=[\"Phi\",\"Phi_approx\",\"abs\",\"acos\",\"acosh\",\"algebra_solver\",\"append_array\",\"append_col\",\"append_row\",\"asin\",\"asinh\",\"atan\",\"atan2\",\"atanh\",\"bernoulli_cdf\",\"bernoulli_lccdf\",\"bernoulli_lcdf\",\"bernoulli_logit_lpmf\",\"bernoulli_logit_rng\",\"bernoulli_lpmf\",\"bernoulli_rng\",\"bessel_first_kind\",\"bessel_second_kind\",\"beta_binomial_cdf\",\"beta_binomial_lccdf\",\"beta_binomial_lcdf\",\"beta_binomial_lpmf\",\"beta_binomial_rng\",\"beta_cdf\",\"beta_lccdf\",\"beta_lcdf\",\"beta_lpdf\",\"beta_rng\",\"binary_log_loss\",\"binomial_cdf\",\"binomial_coefficient_log\",\"binomial_lccdf\",\"binomial_lcdf\",\"binomial_logit_lpmf\",\"binomial_lpmf\",\"binomial_rng\",\"block\",\"categorical_logit_lpmf\",\"categorical_logit_rng\",\"categorical_lpmf\",\"categorical_rng\",\"cauchy_cdf\",\"cauchy_lccdf\",\"cauchy_lcdf\",\"cauchy_lpdf\",\"cauchy_rng\",\"cbrt\",\"ceil\",\"chi_square_cdf\",\"chi_square_lccdf\",\"chi_square_lcdf\",\"chi_square_lpdf\",\"chi_square_rng\",\"cholesky_decompose\",\"choose\",\"col\",\"cols\",\"columns_dot_product\",\"columns_dot_self\",\"cos\",\"cosh\",\"cov_exp_quad\",\"crossprod\",\"csr_extract_u\",\"csr_extract_v\",\"csr_extract_w\",\"csr_matrix_times_vector\",\"csr_to_dense_matrix\",\"cumulative_sum\",\"determinant\",\"diag_matrix\",\"diag_post_multiply\",\"diag_pre_multiply\",\"diagonal\",\"digamma\",\"dims\",\"dirichlet_lpdf\",\"dirichlet_rng\",\"distance\",\"dot_product\",\"dot_self\",\"double_exponential_cdf\",\"double_exponential_lccdf\",\"double_exponential_lcdf\",\"double_exponential_lpdf\",\"double_exponential_rng\",\"e\",\"eigenvalues_sym\",\"eigenvectors_sym\",\"erf\",\"erfc\",\"exp\",\"exp2\",\"exp_mod_normal_cdf\",\"exp_mod_normal_lccdf\",\"exp_mod_normal_lcdf\",\"exp_mod_normal_lpdf\",\"exp_mod_normal_rng\",\"expm1\",\"exponential_cdf\",\"exponential_lccdf\",\"exponential_lcdf\",\"exponential_lpdf\",\"exponential_rng\",\"fabs\",\"falling_factorial\",\"fdim\",\"floor\",\"fma\",\"fmax\",\"fmin\",\"fmod\",\"frechet_cdf\",\"frechet_lccdf\",\"frechet_lcdf\",\"frechet_lpdf\",\"frechet_rng\",\"gamma_cdf\",\"gamma_lccdf\",\"gamma_lcdf\",\"gamma_lpdf\",\"gamma_p\",\"gamma_q\",\"gamma_rng\",\"gaussian_dlm_obs_lpdf\",\"get_lp\",\"gumbel_cdf\",\"gumbel_lccdf\",\"gumbel_lcdf\",\"gumbel_lpdf\",\"gumbel_rng\",\"head\",\"hypergeometric_lpmf\",\"hypergeometric_rng\",\"hypot\",\"inc_beta\",\"int_step\",\"integrate_ode\",\"integrate_ode_bdf\",\"integrate_ode_rk45\",\"inv\",\"inv_Phi\",\"inv_chi_square_cdf\",\"inv_chi_square_lccdf\",\"inv_chi_square_lcdf\",\"inv_chi_square_lpdf\",\"inv_chi_square_rng\",\"inv_cloglog\",\"inv_gamma_cdf\",\"inv_gamma_lccdf\",\"inv_gamma_lcdf\",\"inv_gamma_lpdf\",\"inv_gamma_rng\",\"inv_logit\",\"inv_sqrt\",\"inv_square\",\"inv_wishart_lpdf\",\"inv_wishart_rng\",\"inverse\",\"inverse_spd\",\"is_inf\",\"is_nan\",\"lbeta\",\"lchoose\",\"lgamma\",\"lkj_corr_cholesky_lpdf\",\"lkj_corr_cholesky_rng\",\"lkj_corr_lpdf\",\"lkj_corr_rng\",\"lmgamma\",\"lmultiply\",\"log\",\"log10\",\"log1m\",\"log1m_exp\",\"log1m_inv_logit\",\"log1p\",\"log1p_exp\",\"log2\",\"log_determinant\",\"log_diff_exp\",\"log_falling_factorial\",\"log_inv_logit\",\"log_mix\",\"log_rising_factorial\",\"log_softmax\",\"log_sum_exp\",\"logistic_cdf\",\"logistic_lccdf\",\"logistic_lcdf\",\"logistic_lpdf\",\"logistic_rng\",\"logit\",\"lognormal_cdf\",\"lognormal_lccdf\",\"lognormal_lcdf\",\"lognormal_lpdf\",\"lognormal_rng\",\"machine_precision\",\"matrix_exp\",\"max\",\"mdivide_left_spd\",\"mdivide_left_tri_low\",\"mdivide_right_spd\",\"mdivide_right_tri_low\",\"mean\",\"min\",\"modified_bessel_first_kind\",\"modified_bessel_second_kind\",\"multi_gp_cholesky_lpdf\",\"multi_gp_lpdf\",\"multi_normal_cholesky_lpdf\",\"multi_normal_cholesky_rng\",\"multi_normal_lpdf\",\"multi_normal_prec_lpdf\",\"multi_normal_rng\",\"multi_student_t_lpdf\",\"multi_student_t_rng\",\"multinomial_lpmf\",\"multinomial_rng\",\"multiply_log\",\"multiply_lower_tri_self_transpose\",\"neg_binomial_2_cdf\",\"neg_binomial_2_lccdf\",\"neg_binomial_2_lcdf\",\"neg_binomial_2_log_lpmf\",\"neg_binomial_2_log_rng\",\"neg_binomial_2_lpmf\",\"neg_binomial_2_rng\",\"neg_binomial_cdf\",\"neg_binomial_lccdf\",\"neg_binomial_lcdf\",\"neg_binomial_lpmf\",\"neg_binomial_rng\",\"negative_infinity\",\"normal_cdf\",\"normal_lccdf\",\"normal_lcdf\",\"normal_lpdf\",\"normal_rng\",\"not_a_number\",\"num_elements\",\"ordered_logistic_lpmf\",\"ordered_logistic_rng\",\"owens_t\",\"pareto_cdf\",\"pareto_lccdf\",\"pareto_lcdf\",\"pareto_lpdf\",\"pareto_rng\",\"pareto_type_2_cdf\",\"pareto_type_2_lccdf\",\"pareto_type_2_lcdf\",\"pareto_type_2_lpdf\",\"pareto_type_2_rng\",\"pi\",\"poisson_cdf\",\"poisson_lccdf\",\"poisson_lcdf\",\"poisson_log_lpmf\",\"poisson_log_rng\",\"poisson_lpmf\",\"poisson_rng\",\"positive_infinity\",\"pow\",\"print\",\"prod\",\"qr_Q\",\"qr_R\",\"quad_form\",\"quad_form_diag\",\"quad_form_sym\",\"rank\",\"rayleigh_cdf\",\"rayleigh_lccdf\",\"rayleigh_lcdf\",\"rayleigh_lpdf\",\"rayleigh_rng\",\"reject\",\"rep_array\",\"rep_matrix\",\"rep_row_vector\",\"rep_vector\",\"rising_factorial\",\"round\",\"row\",\"rows\",\"rows_dot_product\",\"rows_dot_self\",\"scaled_inv_chi_square_cdf\",\"scaled_inv_chi_square_lccdf\",\"scaled_inv_chi_square_lcdf\",\"scaled_inv_chi_square_lpdf\",\"scaled_inv_chi_square_rng\",\"sd\",\"segment\",\"sin\",\"singular_values\",\"sinh\",\"size\",\"skew_normal_cdf\",\"skew_normal_lccdf\",\"skew_normal_lcdf\",\"skew_normal_lpdf\",\"skew_normal_rng\",\"softmax\",\"sort_asc\",\"sort_desc\",\"sort_indices_asc\",\"sort_indices_desc\",\"sqrt\",\"sqrt2\",\"square\",\"squared_distance\",\"step\",\"student_t_cdf\",\"student_t_lccdf\",\"student_t_lcdf\",\"student_t_lpdf\",\"student_t_rng\",\"sub_col\",\"sub_row\",\"sum\",\"tail\",\"tan\",\"tanh\",\"target\",\"tcrossprod\",\"tgamma\",\"to_array_1d\",\"to_array_2d\",\"to_matrix\",\"to_row_vector\",\"to_vector\",\"trace\",\"trace_gen_quad_form\",\"trace_quad_form\",\"trigamma\",\"trunc\",\"uniform_cdf\",\"uniform_lccdf\",\"uniform_lcdf\",\"uniform_lpdf\",\"uniform_rng\",\"variance\",\"von_mises_lpdf\",\"von_mises_rng\",\"weibull_cdf\",\"weibull_lccdf\",\"weibull_lcdf\",\"weibull_lpdf\",\"weibull_rng\",\"wiener_lpdf\",\"wishart_lpdf\",\"wishart_rng\"],s=[\"bernoulli\",\"bernoulli_logit\",\"beta\",\"beta_binomial\",\"binomial\",\"binomial_logit\",\"categorical\",\"categorical_logit\",\"cauchy\",\"chi_square\",\"dirichlet\",\"double_exponential\",\"exp_mod_normal\",\"exponential\",\"frechet\",\"gamma\",\"gaussian_dlm_obs\",\"gumbel\",\"hypergeometric\",\"inv_chi_square\",\"inv_gamma\",\"inv_wishart\",\"lkj_corr\",\"lkj_corr_cholesky\",\"logistic\",\"lognormal\",\"multi_gp\",\"multi_gp_cholesky\",\"multi_normal\",\"multi_normal_cholesky\",\"multi_normal_prec\",\"multi_student_t\",\"multinomial\",\"neg_binomial\",\"neg_binomial_2\",\"neg_binomial_2_log\",\"normal\",\"ordered_logistic\",\"pareto\",\"pareto_type_2\",\"poisson\",\"poisson_log\",\"rayleigh\",\"scaled_inv_chi_square\",\"skew_normal\",\"student_t\",\"uniform\",\"von_mises\",\"weibull\",\"wiener\",\"wishart\"];return{name:\"Stan\",aliases:[\"stanfuncs\"],keywords:{$pattern:e.IDENT_RE,title:r,keyword:n.concat(i).concat(a),built_in:o},contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(/#/,/$/,{relevance:0,keywords:{\"meta-keyword\":\"include\"}}),e.COMMENT(/\\/\\*/,/\\*\\//,{relevance:0,contains:[{className:\"doctag\",begin:/@(return|param)/}]}),{begin:/<\\s*lower\\s*=/,keywords:\"lower\"},{begin:/[<,]\\s*upper\\s*=/,keywords:\"upper\"},{className:\"keyword\",begin:/\\btarget\\s*\\+=/,relevance:10},{begin:\"~\\\\s*(\"+e.IDENT_RE+\")\\\\s*\\\\(\",keywords:s},{className:\"number\",variants:[{begin:/\\b\\d+(?:\\.\\d*)?(?:[eE][+-]?\\d+)?/},{begin:/\\.\\d+(?:[eE][+-]?\\d+)?\\b/}],relevance:0},{className:\"string\",begin:'\"',end:'\"',relevance:0}]}}return vr=t,vr}var yr,Ml;function Eu(){if(Ml)return yr;Ml=1;function t(e){return{name:\"Stata\",aliases:[\"do\",\"ado\"],case_insensitive:!0,keywords:\"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5\",contains:[{className:\"symbol\",begin:/`[a-zA-Z0-9_]+'/},{className:\"variable\",begin:/\\$\\{?[a-zA-Z0-9_]+\\}?/},{className:\"string\",variants:[{begin:`\\`\"[^\\r\n]*?\"'`},{begin:`\"[^\\r\n\"]*\"`}]},{className:\"built_in\",variants:[{begin:\"\\\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\\\()\"}]},e.COMMENT(\"^[ \t]*\\\\*.*$\",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}return yr=t,yr}var Dr,Ll;function pu(){if(Ll)return Dr;Ll=1;function t(e){return{name:\"STEP Part 21\",aliases:[\"p21\",\"step\",\"stp\"],case_insensitive:!0,keywords:{$pattern:\"[A-Z_][A-Z0-9_.]*\",keyword:\"HEADER ENDSEC DATA\"},contains:[{className:\"meta\",begin:\"ISO-10303-21;\",relevance:10},{className:\"meta\",begin:\"END-ISO-10303-21;\",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(\"/\\\\*\\\\*!\",\"\\\\*/\"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"string\",begin:\"'\",end:\"'\"},{className:\"symbol\",variants:[{begin:\"#\",end:\"\\\\d+\",illegal:\"\\\\W\"}]}]}}return Dr=t,Dr}var hr,xl;function gu(){if(xl)return hr;xl=1;const t=s=>({IMPORTANT:{className:\"meta\",begin:\"!important\"},HEXCOLOR:{className:\"number\",begin:\"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})\"},ATTRIBUTE_SELECTOR_MODE:{className:\"selector-attr\",begin:/\\[/,end:/\\]/,illegal:\"$\",contains:[s.APOS_STRING_MODE,s.QUOTE_STRING_MODE]}}),e=[\"a\",\"abbr\",\"address\",\"article\",\"aside\",\"audio\",\"b\",\"blockquote\",\"body\",\"button\",\"canvas\",\"caption\",\"cite\",\"code\",\"dd\",\"del\",\"details\",\"dfn\",\"div\",\"dl\",\"dt\",\"em\",\"fieldset\",\"figcaption\",\"figure\",\"footer\",\"form\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"header\",\"hgroup\",\"html\",\"i\",\"iframe\",\"img\",\"input\",\"ins\",\"kbd\",\"label\",\"legend\",\"li\",\"main\",\"mark\",\"menu\",\"nav\",\"object\",\"ol\",\"p\",\"q\",\"quote\",\"samp\",\"section\",\"span\",\"strong\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"textarea\",\"tfoot\",\"th\",\"thead\",\"time\",\"tr\",\"ul\",\"var\",\"video\"],r=[\"any-hover\",\"any-pointer\",\"aspect-ratio\",\"color\",\"color-gamut\",\"color-index\",\"device-aspect-ratio\",\"device-height\",\"device-width\",\"display-mode\",\"forced-colors\",\"grid\",\"height\",\"hover\",\"inverted-colors\",\"monochrome\",\"orientation\",\"overflow-block\",\"overflow-inline\",\"pointer\",\"prefers-color-scheme\",\"prefers-contrast\",\"prefers-reduced-motion\",\"prefers-reduced-transparency\",\"resolution\",\"scan\",\"scripting\",\"update\",\"width\",\"min-width\",\"max-width\",\"min-height\",\"max-height\"],n=[\"active\",\"any-link\",\"blank\",\"checked\",\"current\",\"default\",\"defined\",\"dir\",\"disabled\",\"drop\",\"empty\",\"enabled\",\"first\",\"first-child\",\"first-of-type\",\"fullscreen\",\"future\",\"focus\",\"focus-visible\",\"focus-within\",\"has\",\"host\",\"host-context\",\"hover\",\"indeterminate\",\"in-range\",\"invalid\",\"is\",\"lang\",\"last-child\",\"last-of-type\",\"left\",\"link\",\"local-link\",\"not\",\"nth-child\",\"nth-col\",\"nth-last-child\",\"nth-last-col\",\"nth-last-of-type\",\"nth-of-type\",\"only-child\",\"only-of-type\",\"optional\",\"out-of-range\",\"past\",\"placeholder-shown\",\"read-only\",\"read-write\",\"required\",\"right\",\"root\",\"scope\",\"target\",\"target-within\",\"user-invalid\",\"valid\",\"visited\",\"where\"],a=[\"after\",\"backdrop\",\"before\",\"cue\",\"cue-region\",\"first-letter\",\"first-line\",\"grammar-error\",\"marker\",\"part\",\"placeholder\",\"selection\",\"slotted\",\"spelling-error\"],i=[\"align-content\",\"align-items\",\"align-self\",\"animation\",\"animation-delay\",\"animation-direction\",\"animation-duration\",\"animation-fill-mode\",\"animation-iteration-count\",\"animation-name\",\"animation-play-state\",\"animation-timing-function\",\"auto\",\"backface-visibility\",\"background\",\"background-attachment\",\"background-clip\",\"background-color\",\"background-image\",\"background-origin\",\"background-position\",\"background-repeat\",\"background-size\",\"border\",\"border-bottom\",\"border-bottom-color\",\"border-bottom-left-radius\",\"border-bottom-right-radius\",\"border-bottom-style\",\"border-bottom-width\",\"border-collapse\",\"border-color\",\"border-image\",\"border-image-outset\",\"border-image-repeat\",\"border-image-slice\",\"border-image-source\",\"border-image-width\",\"border-left\",\"border-left-color\",\"border-left-style\",\"border-left-width\",\"border-radius\",\"border-right\",\"border-right-color\",\"border-right-style\",\"border-right-width\",\"border-spacing\",\"border-style\",\"border-top\",\"border-top-color\",\"border-top-left-radius\",\"border-top-right-radius\",\"border-top-style\",\"border-top-width\",\"border-width\",\"bottom\",\"box-decoration-break\",\"box-shadow\",\"box-sizing\",\"break-after\",\"break-before\",\"break-inside\",\"caption-side\",\"clear\",\"clip\",\"clip-path\",\"color\",\"column-count\",\"column-fill\",\"column-gap\",\"column-rule\",\"column-rule-color\",\"column-rule-style\",\"column-rule-width\",\"column-span\",\"column-width\",\"columns\",\"content\",\"counter-increment\",\"counter-reset\",\"cursor\",\"direction\",\"display\",\"empty-cells\",\"filter\",\"flex\",\"flex-basis\",\"flex-direction\",\"flex-flow\",\"flex-grow\",\"flex-shrink\",\"flex-wrap\",\"float\",\"font\",\"font-display\",\"font-family\",\"font-feature-settings\",\"font-kerning\",\"font-language-override\",\"font-size\",\"font-size-adjust\",\"font-smoothing\",\"font-stretch\",\"font-style\",\"font-variant\",\"font-variant-ligatures\",\"font-variation-settings\",\"font-weight\",\"height\",\"hyphens\",\"icon\",\"image-orientation\",\"image-rendering\",\"image-resolution\",\"ime-mode\",\"inherit\",\"initial\",\"justify-content\",\"left\",\"letter-spacing\",\"line-height\",\"list-style\",\"list-style-image\",\"list-style-position\",\"list-style-type\",\"margin\",\"margin-bottom\",\"margin-left\",\"margin-right\",\"margin-top\",\"marks\",\"mask\",\"max-height\",\"max-width\",\"min-height\",\"min-width\",\"nav-down\",\"nav-index\",\"nav-left\",\"nav-right\",\"nav-up\",\"none\",\"normal\",\"object-fit\",\"object-position\",\"opacity\",\"order\",\"orphans\",\"outline\",\"outline-color\",\"outline-offset\",\"outline-style\",\"outline-width\",\"overflow\",\"overflow-wrap\",\"overflow-x\",\"overflow-y\",\"padding\",\"padding-bottom\",\"padding-left\",\"padding-right\",\"padding-top\",\"page-break-after\",\"page-break-before\",\"page-break-inside\",\"perspective\",\"perspective-origin\",\"pointer-events\",\"position\",\"quotes\",\"resize\",\"right\",\"src\",\"tab-size\",\"table-layout\",\"text-align\",\"text-align-last\",\"text-decoration\",\"text-decoration-color\",\"text-decoration-line\",\"text-decoration-style\",\"text-indent\",\"text-overflow\",\"text-rendering\",\"text-shadow\",\"text-transform\",\"text-underline-position\",\"top\",\"transform\",\"transform-origin\",\"transform-style\",\"transition\",\"transition-delay\",\"transition-duration\",\"transition-property\",\"transition-timing-function\",\"unicode-bidi\",\"vertical-align\",\"visibility\",\"white-space\",\"widows\",\"width\",\"word-break\",\"word-spacing\",\"word-wrap\",\"z-index\"].reverse();function o(s){const l=t(s),_=\"and or not only\",c={className:\"variable\",begin:\"\\\\$\"+s.IDENT_RE},E=[\"charset\",\"css\",\"debug\",\"extend\",\"font-face\",\"for\",\"import\",\"include\",\"keyframes\",\"media\",\"mixin\",\"page\",\"warn\",\"while\"],d=\"(?=[.\\\\s\\\\n[:,(])\";return{name:\"Stylus\",aliases:[\"styl\"],case_insensitive:!1,keywords:\"if else for in\",illegal:\"(\"+[\"\\\\?\",\"(\\\\bReturn\\\\b)\",\"(\\\\bEnd\\\\b)\",\"(\\\\bend\\\\b)\",\"(\\\\bdef\\\\b)\",\";\",\"#\\\\s\",\"\\\\*\\\\s\",\"===\\\\s\",\"\\\\|\",\"%\"].join(\"|\")+\")\",contains:[s.QUOTE_STRING_MODE,s.APOS_STRING_MODE,s.C_LINE_COMMENT_MODE,s.C_BLOCK_COMMENT_MODE,l.HEXCOLOR,{begin:\"\\\\.[a-zA-Z][a-zA-Z0-9_-]*\"+d,className:\"selector-class\"},{begin:\"#[a-zA-Z][a-zA-Z0-9_-]*\"+d,className:\"selector-id\"},{begin:\"\\\\b(\"+e.join(\"|\")+\")\"+d,className:\"selector-tag\"},{className:\"selector-pseudo\",begin:\"&?:(\"+n.join(\"|\")+\")\"+d},{className:\"selector-pseudo\",begin:\"&?::(\"+a.join(\"|\")+\")\"+d},l.ATTRIBUTE_SELECTOR_MODE,{className:\"keyword\",begin:/@media/,starts:{end:/[{;}]/,keywords:{$pattern:/[a-z-]+/,keyword:_,attribute:r.join(\" \")},contains:[s.CSS_NUMBER_MODE]}},{className:\"keyword\",begin:\"@((-(o|moz|ms|webkit)-)?(\"+E.join(\"|\")+\"))\\\\b\"},c,s.CSS_NUMBER_MODE,{className:\"function\",begin:\"^[a-zA-Z][a-zA-Z0-9_-]*\\\\(.*\\\\)\",illegal:\"[\\\\n]\",returnBegin:!0,contains:[{className:\"title\",begin:\"\\\\b[a-zA-Z][a-zA-Z0-9_-]*\"},{className:\"params\",begin:/\\(/,end:/\\)/,contains:[l.HEXCOLOR,c,s.APOS_STRING_MODE,s.CSS_NUMBER_MODE,s.QUOTE_STRING_MODE]}]},{className:\"attribute\",begin:\"\\\\b(\"+i.join(\"|\")+\")\\\\b\",starts:{end:/;|$/,contains:[l.HEXCOLOR,c,s.APOS_STRING_MODE,s.QUOTE_STRING_MODE,s.CSS_NUMBER_MODE,s.C_BLOCK_COMMENT_MODE,l.IMPORTANT],illegal:/\\./,relevance:0}}]}}return hr=o,hr}var Mr,Pl;function Su(){if(Pl)return Mr;Pl=1;function t(e){return{name:\"SubUnit\",case_insensitive:!0,contains:[{className:\"string\",begin:`\\\\[\n(multipart)?`,end:`\\\\]\n`},{className:\"string\",begin:\"\\\\d{4}-\\\\d{2}-\\\\d{2}(\\\\s+)\\\\d{2}:\\\\d{2}:\\\\d{2}.\\\\d+Z\"},{className:\"string\",begin:\"(\\\\+|-)\\\\d+\"},{className:\"keyword\",relevance:10,variants:[{begin:\"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\\\s+(test)?\"},{begin:\"^progress(:?)(\\\\s+)?(pop|push)?\"},{begin:\"^tags:\"},{begin:\"^time:\"}]}]}}return Mr=t,Mr}var Lr,wl;function Tu(){if(wl)return Lr;wl=1;function t(O){return O?typeof O==\"string\"?O:O.source:null}function e(O){return r(\"(?=\",O,\")\")}function r(...O){return O.map(w=>t(w)).join(\"\")}function n(...O){return\"(\"+O.map(w=>t(w)).join(\"|\")+\")\"}const a=O=>r(/\\b/,O,/\\w$/.test(O)?/\\b/:/\\B/),i=[\"Protocol\",\"Type\"].map(a),o=[\"init\",\"self\"].map(a),s=[\"Any\",\"Self\"],l=[\"associatedtype\",\"async\",\"await\",/as\\?/,/as!/,\"as\",\"break\",\"case\",\"catch\",\"class\",\"continue\",\"convenience\",\"default\",\"defer\",\"deinit\",\"didSet\",\"do\",\"dynamic\",\"else\",\"enum\",\"extension\",\"fallthrough\",/fileprivate\\(set\\)/,\"fileprivate\",\"final\",\"for\",\"func\",\"get\",\"guard\",\"if\",\"import\",\"indirect\",\"infix\",/init\\?/,/init!/,\"inout\",/internal\\(set\\)/,\"internal\",\"in\",\"is\",\"lazy\",\"let\",\"mutating\",\"nonmutating\",/open\\(set\\)/,\"open\",\"operator\",\"optional\",\"override\",\"postfix\",\"precedencegroup\",\"prefix\",/private\\(set\\)/,\"private\",\"protocol\",/public\\(set\\)/,\"public\",\"repeat\",\"required\",\"rethrows\",\"return\",\"set\",\"some\",\"static\",\"struct\",\"subscript\",\"super\",\"switch\",\"throws\",\"throw\",/try\\?/,/try!/,\"try\",\"typealias\",/unowned\\(safe\\)/,/unowned\\(unsafe\\)/,\"unowned\",\"var\",\"weak\",\"where\",\"while\",\"willSet\"],_=[\"false\",\"nil\",\"true\"],c=[\"assignment\",\"associativity\",\"higherThan\",\"left\",\"lowerThan\",\"none\",\"right\"],E=[\"#colorLiteral\",\"#column\",\"#dsohandle\",\"#else\",\"#elseif\",\"#endif\",\"#error\",\"#file\",\"#fileID\",\"#fileLiteral\",\"#filePath\",\"#function\",\"#if\",\"#imageLiteral\",\"#keyPath\",\"#line\",\"#selector\",\"#sourceLocation\",\"#warn_unqualified_access\",\"#warning\"],d=[\"abs\",\"all\",\"any\",\"assert\",\"assertionFailure\",\"debugPrint\",\"dump\",\"fatalError\",\"getVaList\",\"isKnownUniquelyReferenced\",\"max\",\"min\",\"numericCast\",\"pointwiseMax\",\"pointwiseMin\",\"precondition\",\"preconditionFailure\",\"print\",\"readLine\",\"repeatElement\",\"sequence\",\"stride\",\"swap\",\"swift_unboxFromSwiftValueWithType\",\"transcode\",\"type\",\"unsafeBitCast\",\"unsafeDowncast\",\"withExtendedLifetime\",\"withUnsafeMutablePointer\",\"withUnsafePointer\",\"withVaList\",\"withoutActuallyEscaping\",\"zip\"],u=n(/[/=\\-+!*%<>&|^~?]/,/[\\u00A1-\\u00A7]/,/[\\u00A9\\u00AB]/,/[\\u00AC\\u00AE]/,/[\\u00B0\\u00B1]/,/[\\u00B6\\u00BB\\u00BF\\u00D7\\u00F7]/,/[\\u2016-\\u2017]/,/[\\u2020-\\u2027]/,/[\\u2030-\\u203E]/,/[\\u2041-\\u2053]/,/[\\u2055-\\u205E]/,/[\\u2190-\\u23FF]/,/[\\u2500-\\u2775]/,/[\\u2794-\\u2BFF]/,/[\\u2E00-\\u2E7F]/,/[\\u3001-\\u3003]/,/[\\u3008-\\u3020]/,/[\\u3030]/),p=n(u,/[\\u0300-\\u036F]/,/[\\u1DC0-\\u1DFF]/,/[\\u20D0-\\u20FF]/,/[\\uFE00-\\uFE0F]/,/[\\uFE20-\\uFE2F]/),T=r(u,p,\"*\"),g=n(/[a-zA-Z_]/,/[\\u00A8\\u00AA\\u00AD\\u00AF\\u00B2-\\u00B5\\u00B7-\\u00BA]/,/[\\u00BC-\\u00BE\\u00C0-\\u00D6\\u00D8-\\u00F6\\u00F8-\\u00FF]/,/[\\u0100-\\u02FF\\u0370-\\u167F\\u1681-\\u180D\\u180F-\\u1DBF]/,/[\\u1E00-\\u1FFF]/,/[\\u200B-\\u200D\\u202A-\\u202E\\u203F-\\u2040\\u2054\\u2060-\\u206F]/,/[\\u2070-\\u20CF\\u2100-\\u218F\\u2460-\\u24FF\\u2776-\\u2793]/,/[\\u2C00-\\u2DFF\\u2E80-\\u2FFF]/,/[\\u3004-\\u3007\\u3021-\\u302F\\u3031-\\u303F\\u3040-\\uD7FF]/,/[\\uF900-\\uFD3D\\uFD40-\\uFDCF\\uFDF0-\\uFE1F\\uFE30-\\uFE44]/,/[\\uFE47-\\uFEFE\\uFF00-\\uFFFD]/),S=n(g,/\\d/,/[\\u0300-\\u036F\\u1DC0-\\u1DFF\\u20D0-\\u20FF\\uFE20-\\uFE2F]/),b=r(g,S,\"*\"),f=r(/[A-Z]/,S,\"*\"),C=[\"autoclosure\",r(/convention\\(/,n(\"swift\",\"block\",\"c\"),/\\)/),\"discardableResult\",\"dynamicCallable\",\"dynamicMemberLookup\",\"escaping\",\"frozen\",\"GKInspectable\",\"IBAction\",\"IBDesignable\",\"IBInspectable\",\"IBOutlet\",\"IBSegueAction\",\"inlinable\",\"main\",\"nonobjc\",\"NSApplicationMain\",\"NSCopying\",\"NSManaged\",r(/objc\\(/,b,/\\)/),\"objc\",\"objcMembers\",\"propertyWrapper\",\"requires_stored_property_inits\",\"testable\",\"UIApplicationMain\",\"unknown\",\"usableFromInline\"],y=[\"iOS\",\"iOSApplicationExtension\",\"macOS\",\"macOSApplicationExtension\",\"macCatalyst\",\"macCatalystApplicationExtension\",\"watchOS\",\"watchOSApplicationExtension\",\"tvOS\",\"tvOSApplicationExtension\",\"swift\"];function A(O){const P={match:/\\s+/,relevance:0},w=O.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[\"self\"]}),F=[O.C_LINE_COMMENT_MODE,w],z={className:\"keyword\",begin:r(/\\./,e(n(...i,...o))),end:n(...i,...o),excludeBegin:!0},k={match:r(/\\./,n(...l)),relevance:0},Q=l.filter(K=>typeof K==\"string\").concat([\"_|0\"]),le=l.filter(K=>typeof K!=\"string\").concat(s).map(a),ne={variants:[{className:\"keyword\",match:n(...le,...o)}]},X={$pattern:n(/\\b\\w+/,/#\\w+/),keyword:Q.concat(E),literal:_},Z=[z,k,ne],ie={match:r(/\\./,n(...d)),relevance:0},oe={className:\"built_in\",match:r(/\\b/,n(...d),/(?=\\()/)},ce=[ie,oe],ye={match:/->/,relevance:0},ke={className:\"operator\",relevance:0,variants:[{match:T},{match:`\\\\.(\\\\.|${p})+`}]},pe=[ye,ke],ge=\"([0-9]_*)+\",be=\"([0-9a-fA-F]_*)+\",_e={className:\"number\",relevance:0,variants:[{match:`\\\\b(${ge})(\\\\.(${ge}))?([eE][+-]?(${ge}))?\\\\b`},{match:`\\\\b0x(${be})(\\\\.(${be}))?([pP][+-]?(${ge}))?\\\\b`},{match:/\\b0o([0-7]_*)+\\b/},{match:/\\b0b([01]_*)+\\b/}]},De=(K=\"\")=>({className:\"subst\",variants:[{match:r(/\\\\/,K,/[0\\\\tnr\"']/)},{match:r(/\\\\/,K,/u\\{[0-9a-fA-F]{1,8}\\}/)}]}),de=(K=\"\")=>({className:\"subst\",match:r(/\\\\/,K,/[\\t ]*(?:[\\r\\n]|\\r\\n)/)}),he=(K=\"\")=>({className:\"subst\",label:\"interpol\",begin:r(/\\\\/,K,/\\(/),end:/\\)/}),Re=(K=\"\")=>({begin:r(K,/\"\"\"/),end:r(/\"\"\"/,K),contains:[De(K),de(K),he(K)]}),fe=(K=\"\")=>({begin:r(K,/\"/),end:r(/\"/,K),contains:[De(K),he(K)]}),Ne={className:\"string\",variants:[Re(),Re(\"#\"),Re(\"##\"),Re(\"###\"),fe(),fe(\"#\"),fe(\"##\"),fe(\"###\")]},He={match:r(/`/,b,/`/)},je={className:\"variable\",match:/\\$\\d+/},et={className:\"variable\",match:`\\\\$${S}+`},Me=[He,je,et],tt={match:/(@|#)available/,className:\"keyword\",starts:{contains:[{begin:/\\(/,end:/\\)/,keywords:y,contains:[...pe,_e,Ne]}]}},nt={className:\"keyword\",match:r(/@/,n(...C))},at={className:\"meta\",match:r(/@/,b)},Ae=[tt,nt,at],Se={match:e(/\\b[A-Z]/),relevance:0,contains:[{className:\"type\",match:r(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/,S,\"+\")},{className:\"type\",match:f,relevance:0},{match:/[?!]+/,relevance:0},{match:/\\.\\.\\./,relevance:0},{match:r(/\\s+&\\s+/,e(f)),relevance:0}]},rt={begin://,keywords:X,contains:[...F,...Z,...Ae,ye,Se]};Se.contains.push(rt);const it={match:r(b,/\\s*:/),keywords:\"_|0\",relevance:0},Ve={begin:/\\(/,end:/\\)/,relevance:0,keywords:X,contains:[\"self\",it,...F,...Z,...ce,...pe,_e,Ne,...Me,...Ae,Se]},ot={beginKeywords:\"func\",contains:[{className:\"title\",match:n(He.match,b,T),endsParent:!0,relevance:0},P]},Le={begin://,contains:[...F,Se]},We={begin:n(e(r(b,/\\s*:/)),e(r(b,/\\s+/,b,/\\s*:/))),end:/:/,relevance:0,contains:[{className:\"keyword\",match:/\\b_\\b/},{className:\"params\",match:b}]},ze={begin:/\\(/,end:/\\)/,keywords:X,contains:[We,...F,...Z,...pe,_e,Ne,...Ae,Se,Ve],endsParent:!0,illegal:/[\"']/},Ke={className:\"function\",match:e(/\\bfunc\\b/),contains:[ot,Le,ze,P],illegal:[/\\[/,/%/]},Ue={className:\"function\",match:/\\b(subscript|init[?!]?)\\s*(?=[<(])/,keywords:{keyword:\"subscript init init? init!\",$pattern:/\\w+[?!]?/},contains:[Le,ze,P],illegal:/\\[|%/},$e={beginKeywords:\"operator\",end:O.MATCH_NOTHING_RE,contains:[{className:\"title\",match:T,endsParent:!0,relevance:0}]},se={beginKeywords:\"precedencegroup\",end:O.MATCH_NOTHING_RE,contains:[{className:\"title\",match:f,relevance:0},{begin:/{/,end:/}/,relevance:0,endsParent:!0,keywords:[...c,..._],contains:[Se]}]};for(const K of Ne.variants){const Fe=K.contains.find(mt=>mt.label===\"interpol\");Fe.keywords=X;const Be=[...Z,...ce,...pe,_e,Ne,...Me];Fe.contains=[...Be,{begin:/\\(/,end:/\\)/,contains:[\"self\",...Be]}]}return{name:\"Swift\",keywords:X,contains:[...F,Ke,Ue,{className:\"class\",beginKeywords:\"struct protocol class extension enum\",end:\"\\\\{\",excludeEnd:!0,keywords:X,contains:[O.inherit(O.TITLE_MODE,{begin:/[A-Za-z$_][\\u00C0-\\u02B80-9A-Za-z$_]*/}),...Z]},$e,se,{beginKeywords:\"import\",end:/$/,contains:[...F],relevance:0},...Z,...ce,...pe,_e,Ne,...Me,...Ae,Se,Ve]}}return Lr=A,Lr}var xr,kl;function bu(){if(kl)return xr;kl=1;function t(e){return{name:\"Tagger Script\",contains:[{className:\"comment\",begin:/\\$noop\\(/,end:/\\)/,contains:[{begin:/\\(/,end:/\\)/,contains:[\"self\",{begin:/\\\\./}]}],relevance:10},{className:\"keyword\",begin:/\\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,end:/\\(/,excludeEnd:!0},{className:\"variable\",begin:/%[_a-zA-Z0-9:]*/,end:\"%\"},{className:\"symbol\",begin:/\\\\./}]}}return xr=t,xr}var Pr,Ul;function Ru(){if(Ul)return Pr;Ul=1;function t(e){var r=\"true false yes no null\",n=\"[\\\\w#;/?:@&=+$,.~*'()[\\\\]]+\",a={className:\"attr\",variants:[{begin:\"\\\\w[\\\\w :\\\\/.-]*:(?=[ \t]|$)\"},{begin:'\"\\\\w[\\\\w :\\\\/.-]*\":(?=[ \t]|$)'},{begin:\"'\\\\w[\\\\w :\\\\/.-]*':(?=[ \t]|$)\"}]},i={className:\"template-variable\",variants:[{begin:/\\{\\{/,end:/\\}\\}/},{begin:/%\\{/,end:/\\}/}]},o={className:\"string\",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/\\S+/}],contains:[e.BACKSLASH_ESCAPE,i]},s=e.inherit(o,{variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/[^\\s,{}[\\]]+/}]}),l=\"[0-9]{4}(-[0-9][0-9]){0,2}\",_=\"([Tt \\\\t][0-9][0-9]?(:[0-9][0-9]){2})?\",c=\"(\\\\.[0-9]*)?\",E=\"([ \\\\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?\",d={className:\"number\",begin:\"\\\\b\"+l+_+c+E+\"\\\\b\"},u={end:\",\",endsWithParent:!0,excludeEnd:!0,keywords:r,relevance:0},p={begin:/\\{/,end:/\\}/,contains:[u],illegal:\"\\\\n\",relevance:0},T={begin:\"\\\\[\",end:\"\\\\]\",contains:[u],illegal:\"\\\\n\",relevance:0},g=[a,{className:\"meta\",begin:\"^---\\\\s*$\",relevance:10},{className:\"string\",begin:\"[\\\\|>]([1-9]?[+-])?[ ]*\\\\n( +)[^ ][^\\\\n]*\\\\n(\\\\2[^\\\\n]+\\\\n?)*\"},{begin:\"<%[%=-]?\",end:\"[%-]?%>\",subLanguage:\"ruby\",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:\"!\\\\w+!\"+n},{className:\"type\",begin:\"!<\"+n+\">\"},{className:\"type\",begin:\"!\"+n},{className:\"type\",begin:\"!!\"+n},{className:\"meta\",begin:\"&\"+e.UNDERSCORE_IDENT_RE+\"$\"},{className:\"meta\",begin:\"\\\\*\"+e.UNDERSCORE_IDENT_RE+\"$\"},{className:\"bullet\",begin:\"-(?=[ ]|$)\",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:r,keywords:{literal:r}},d,{className:\"number\",begin:e.C_NUMBER_RE+\"\\\\b\",relevance:0},p,T,o],S=[...g];return S.pop(),S.push(s),u.contains=S,{name:\"YAML\",case_insensitive:!0,aliases:[\"yml\"],contains:g}}return Pr=t,Pr}var wr,Fl;function fu(){if(Fl)return wr;Fl=1;function t(e){return{name:\"Test Anything Protocol\",case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:\"meta\",variants:[{begin:\"^TAP version (\\\\d+)$\"},{begin:\"^1\\\\.\\\\.(\\\\d+)$\"}]},{begin:/---$/,end:\"\\\\.\\\\.\\\\.$\",subLanguage:\"yaml\",relevance:0},{className:\"number\",begin:\" (\\\\d+) \"},{className:\"symbol\",variants:[{begin:\"^ok\"},{begin:\"^not ok\"}]}]}}return wr=t,wr}var kr,Bl;function Nu(){if(Bl)return kr;Bl=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(a){return r(\"(\",a,\")?\")}function r(...a){return a.map(o=>t(o)).join(\"\")}function n(a){const i=/[a-zA-Z_][a-zA-Z0-9_]*/,o={className:\"number\",variants:[a.BINARY_NUMBER_MODE,a.C_NUMBER_MODE]};return{name:\"Tcl\",aliases:[\"tk\"],keywords:\"after append apply array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock close concat continue dde dict encoding eof error eval exec exit expr fblocked fconfigure fcopy file fileevent filename flush for foreach format gets glob global history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename return safe scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update uplevel upvar variable vwait while\",contains:[a.COMMENT(\";[ \\\\t]*#\",\"$\"),a.COMMENT(\"^[ \\\\t]*#\",\"$\"),{beginKeywords:\"proc\",end:\"[\\\\{]\",excludeEnd:!0,contains:[{className:\"title\",begin:\"[ \\\\t\\\\n\\\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\",end:\"[ \\\\t\\\\n\\\\r]\",endsWithParent:!0,excludeEnd:!0}]},{className:\"variable\",variants:[{begin:r(/\\$/,e(/::/),i,\"(::\",i,\")*\")},{begin:\"\\\\$\\\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\",end:\"\\\\}\",contains:[o]}]},{className:\"string\",contains:[a.BACKSLASH_ESCAPE],variants:[a.inherit(a.QUOTE_STRING_MODE,{illegal:null})]},o]}}return kr=n,kr}var Ur,Gl;function Cu(){if(Gl)return Ur;Gl=1;function t(e){const r=\"bool byte i16 i32 i64 double string binary\";return{name:\"Thrift\",keywords:{keyword:\"namespace const typedef struct enum service exception void oneway set list map required optional\",built_in:r,literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"class\",beginKeywords:\"struct enum service exception\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:\"\\\\b(set|list|map)\\\\s*<\",end:\">\",keywords:r,contains:[\"self\"]}]}}return Ur=t,Ur}var Fr,Yl;function Ou(){if(Yl)return Fr;Yl=1;function t(e){const r={className:\"number\",begin:\"[1-9][0-9]*\",relevance:0},n={className:\"symbol\",begin:\":[^\\\\]]+\"},a={className:\"built_in\",begin:\"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\\\[\",end:\"\\\\]\",contains:[\"self\",r,n]},i={className:\"built_in\",begin:\"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\\\[\",end:\"\\\\]\",contains:[\"self\",r,e.QUOTE_STRING_MODE,n]};return{name:\"TP\",keywords:{keyword:\"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET PROG ATTR MN POS\",literal:\"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET\"},contains:[a,i,{className:\"keyword\",begin:\"/(PROG|ATTR|MN|POS|END)\\\\b\"},{className:\"keyword\",begin:\"(CALL|RUN|POINT_LOGIC|LBL)\\\\b\"},{className:\"keyword\",begin:\"\\\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)\"},{className:\"number\",begin:\"\\\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\\\b\",relevance:0},e.COMMENT(\"//\",\"[;$]\"),e.COMMENT(\"!\",\"[;$]\"),e.COMMENT(\"--eg:\",\"$\"),e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"'\"},e.C_NUMBER_MODE,{className:\"variable\",begin:\"\\\\$[A-Za-z0-9_]+\"}]}}return Fr=t,Fr}var Br,ql;function Iu(){if(ql)return Br;ql=1;function t(e){var r={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"},n=\"attribute block constant cycle date dump include max min parent random range source template_from_string\",a={beginKeywords:n,keywords:{name:n},relevance:0,contains:[r]},i={begin:/\\|[A-Za-z_]+:?/,keywords:\"abs batch capitalize column convert_encoding date date_modify default escape filter first format inky_to_html inline_css join json_encode keys last length lower map markdown merge nl2br number_format raw reduce replace reverse round slice sort spaceless split striptags title trim upper url_encode\",contains:[a]},o=\"apply autoescape block deprecated do embed extends filter flush for from if import include macro sandbox set use verbatim with\";return o=o+\" \"+o.split(\" \").map(function(s){return\"end\"+s}).join(\" \"),{name:\"Twig\",aliases:[\"craftcms\"],case_insensitive:!0,subLanguage:\"xml\",contains:[e.COMMENT(/\\{#/,/#\\}/),{className:\"template-tag\",begin:/\\{%/,end:/%\\}/,contains:[{className:\"name\",begin:/\\w+/,keywords:o,starts:{endsWithParent:!0,contains:[i,a],relevance:0}}]},{className:\"template-variable\",begin:/\\{\\{/,end:/\\}\\}/,contains:[\"self\",i,a]}]}}return Br=t,Br}var Gr,Hl;function Au(){if(Hl)return Gr;Hl=1;const t=\"[A-Za-z$_][0-9A-Za-z$_]*\",e=[\"as\",\"in\",\"of\",\"if\",\"for\",\"while\",\"finally\",\"var\",\"new\",\"function\",\"do\",\"return\",\"void\",\"else\",\"break\",\"catch\",\"instanceof\",\"with\",\"throw\",\"case\",\"default\",\"try\",\"switch\",\"continue\",\"typeof\",\"delete\",\"let\",\"yield\",\"const\",\"class\",\"debugger\",\"async\",\"await\",\"static\",\"import\",\"from\",\"export\",\"extends\"],r=[\"true\",\"false\",\"null\",\"undefined\",\"NaN\",\"Infinity\"],n=[\"Intl\",\"DataView\",\"Number\",\"Math\",\"Date\",\"String\",\"RegExp\",\"Object\",\"Function\",\"Boolean\",\"Error\",\"Symbol\",\"Set\",\"Map\",\"WeakSet\",\"WeakMap\",\"Proxy\",\"Reflect\",\"JSON\",\"Promise\",\"Float64Array\",\"Int16Array\",\"Int32Array\",\"Int8Array\",\"Uint16Array\",\"Uint32Array\",\"Float32Array\",\"Array\",\"Uint8Array\",\"Uint8ClampedArray\",\"ArrayBuffer\",\"BigInt64Array\",\"BigUint64Array\",\"BigInt\"],a=[\"EvalError\",\"InternalError\",\"RangeError\",\"ReferenceError\",\"SyntaxError\",\"TypeError\",\"URIError\"],i=[\"setInterval\",\"setTimeout\",\"clearInterval\",\"clearTimeout\",\"require\",\"exports\",\"eval\",\"isFinite\",\"isNaN\",\"parseFloat\",\"parseInt\",\"decodeURI\",\"decodeURIComponent\",\"encodeURI\",\"encodeURIComponent\",\"escape\",\"unescape\"],o=[\"arguments\",\"this\",\"super\",\"console\",\"window\",\"document\",\"localStorage\",\"module\",\"global\"],s=[].concat(i,o,n,a);function l(u){return u?typeof u==\"string\"?u:u.source:null}function _(u){return c(\"(?=\",u,\")\")}function c(...u){return u.map(T=>l(T)).join(\"\")}function E(u){const p=(Z,{after:ie})=>{const oe=\"\",end:\"\"},S={begin:/<[A-Za-z0-9\\\\._:-]+/,end:/\\/[A-Za-z0-9\\\\._:-]+>|\\/>/,isTrulyOpeningTag:(Z,ie)=>{const oe=Z[0].length+Z.index,ce=Z.input[oe];if(ce===\"<\"){ie.ignoreMatch();return}ce===\">\"&&(p(Z,{after:oe})||ie.ignoreMatch())}},b={$pattern:t,keyword:e,literal:r,built_in:s},f=\"[0-9](_?[0-9])*\",C=`\\\\.(${f})`,y=\"0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*\",A={className:\"number\",variants:[{begin:`(\\\\b(${y})((${C})|\\\\.)?|(${C}))[eE][+-]?(${f})\\\\b`},{begin:`\\\\b(${y})\\\\b((${C})\\\\b|\\\\.)?|(${C})\\\\b`},{begin:\"\\\\b(0|[1-9](_?[0-9])*)n\\\\b\"},{begin:\"\\\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\\\b\"},{begin:\"\\\\b0[bB][0-1](_?[0-1])*n?\\\\b\"},{begin:\"\\\\b0[oO][0-7](_?[0-7])*n?\\\\b\"},{begin:\"\\\\b0[0-7]+n?\\\\b\"}],relevance:0},O={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:b,contains:[]},P={begin:\"html`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[u.BACKSLASH_ESCAPE,O],subLanguage:\"xml\"}},w={begin:\"css`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[u.BACKSLASH_ESCAPE,O],subLanguage:\"css\"}},F={className:\"string\",begin:\"`\",end:\"`\",contains:[u.BACKSLASH_ESCAPE,O]},k={className:\"comment\",variants:[u.COMMENT(/\\/\\*\\*(?!\\/)/,\"\\\\*/\",{relevance:0,contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\",contains:[{className:\"type\",begin:\"\\\\{\",end:\"\\\\}\",relevance:0},{className:\"variable\",begin:T+\"(?=\\\\s*(-)|$)\",endsParent:!0,relevance:0},{begin:/(?=[^\\n])\\s/,relevance:0}]}]}),u.C_BLOCK_COMMENT_MODE,u.C_LINE_COMMENT_MODE]},Q=[u.APOS_STRING_MODE,u.QUOTE_STRING_MODE,P,w,F,A,u.REGEXP_MODE];O.contains=Q.concat({begin:/\\{/,end:/\\}/,keywords:b,contains:[\"self\"].concat(Q)});const le=[].concat(k,O.contains),ne=le.concat([{begin:/\\(/,end:/\\)/,keywords:b,contains:[\"self\"].concat(le)}]),X={className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,contains:ne};return{name:\"Javascript\",aliases:[\"js\",\"jsx\",\"mjs\",\"cjs\"],keywords:b,exports:{PARAMS_CONTAINS:ne},illegal:/#(?![$_A-z])/,contains:[u.SHEBANG({label:\"shebang\",binary:\"node\",relevance:5}),{label:\"use_strict\",className:\"meta\",relevance:10,begin:/^\\s*['\"]use (strict|asm)['\"]/},u.APOS_STRING_MODE,u.QUOTE_STRING_MODE,P,w,F,k,A,{begin:c(/[{,\\n]\\s*/,_(c(/(((\\/\\/.*$)|(\\/\\*(\\*[^/]|[^*])*\\*\\/))\\s*)*/,T+\"\\\\s*:\"))),relevance:0,contains:[{className:\"attr\",begin:T+_(\"\\\\s*:\"),relevance:0}]},{begin:\"(\"+u.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[k,u.REGEXP_MODE,{className:\"function\",begin:\"(\\\\([^()]*(\\\\([^()]*(\\\\([^()]*\\\\)[^()]*)*\\\\)[^()]*)*\\\\)|\"+u.UNDERSCORE_IDENT_RE+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:u.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\\(\\s*\\)/,skip:!0},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,contains:ne}]}]},{begin:/,/,relevance:0},{className:\"\",begin:/\\s/,end:/\\s*/,skip:!0},{variants:[{begin:g.begin,end:g.end},{begin:S.begin,\"on:begin\":S.isTrulyOpeningTag,end:S.end}],subLanguage:\"xml\",contains:[{begin:S.begin,end:S.end,skip:!0,contains:[\"self\"]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/[{;]/,excludeEnd:!0,keywords:b,contains:[\"self\",u.inherit(u.TITLE_MODE,{begin:T}),X],illegal:/%/},{beginKeywords:\"while if switch catch for\"},{className:\"function\",begin:u.UNDERSCORE_IDENT_RE+\"\\\\([^()]*(\\\\([^()]*(\\\\([^()]*\\\\)[^()]*)*\\\\)[^()]*)*\\\\)\\\\s*\\\\{\",returnBegin:!0,contains:[X,u.inherit(u.TITLE_MODE,{begin:T})]},{variants:[{begin:\"\\\\.\"+T},{begin:\"\\\\$\"+T}],relevance:0},{className:\"class\",beginKeywords:\"class\",end:/[{;=]/,excludeEnd:!0,illegal:/[:\"[\\]]/,contains:[{beginKeywords:\"extends\"},u.UNDERSCORE_TITLE_MODE]},{begin:/\\b(?=constructor)/,end:/[{;]/,excludeEnd:!0,contains:[u.inherit(u.TITLE_MODE,{begin:T}),\"self\",X]},{begin:\"(get|set)\\\\s+(?=\"+T+\"\\\\()\",end:/\\{/,keywords:\"get set\",contains:[u.inherit(u.TITLE_MODE,{begin:T}),{begin:/\\(\\)/},X]},{begin:/\\$[(.]/}]}}function d(u){const p=t,T={beginKeywords:\"namespace\",end:/\\{/,excludeEnd:!0},g={beginKeywords:\"interface\",end:/\\{/,excludeEnd:!0,keywords:\"interface extends\"},S={className:\"meta\",relevance:10,begin:/^\\s*['\"]use strict['\"]/},b=[\"any\",\"void\",\"number\",\"boolean\",\"string\",\"object\",\"never\",\"enum\"],f=[\"type\",\"namespace\",\"typedef\",\"interface\",\"public\",\"private\",\"protected\",\"implements\",\"declare\",\"abstract\",\"readonly\"],C={$pattern:t,keyword:e.concat(f),literal:r,built_in:s.concat(b)},y={className:\"meta\",begin:\"@\"+p},A=(w,F,z)=>{const k=w.contains.findIndex(Q=>Q.label===F);if(k===-1)throw new Error(\"can not find mode to replace\");w.contains.splice(k,1,z)},O=E(u);Object.assign(O.keywords,C),O.exports.PARAMS_CONTAINS.push(y),O.contains=O.contains.concat([y,T,g]),A(O,\"shebang\",u.SHEBANG()),A(O,\"use_strict\",S);const P=O.contains.find(w=>w.className===\"function\");return P.relevance=0,Object.assign(O,{name:\"TypeScript\",aliases:[\"ts\",\"tsx\"]}),O}return Gr=d,Gr}var Yr,Vl;function vu(){if(Vl)return Yr;Vl=1;function t(e){return{name:\"Vala\",keywords:{keyword:\"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var\",built_in:\"DBus GLib CCode Gee Object Gtk Posix\",literal:\"false true null\"},contains:[{className:\"class\",beginKeywords:\"class interface namespace\",end:/\\{/,excludeEnd:!0,illegal:\"[^,:\\\\n\\\\s\\\\.]\",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"^#\",end:\"$\",relevance:2}]}}return Yr=t,Yr}var qr,Wl;function yu(){if(Wl)return qr;Wl=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i={className:\"string\",begin:/\"(\"\"|[^/n])\"C\\b/},o={className:\"string\",begin:/\"/,end:/\"/,illegal:/\\n/,contains:[{begin:/\"\"/}]},s=/\\d{1,2}\\/\\d{1,2}\\/\\d{4}/,l=/\\d{4}-\\d{1,2}-\\d{1,2}/,_=/(\\d|1[012])(:\\d+){0,2} *(AM|PM)/,c=/\\d{1,2}(:\\d{1,2}){1,2}/,E={className:\"literal\",variants:[{begin:e(/# */,r(l,s),/ *#/)},{begin:e(/# */,c,/ *#/)},{begin:e(/# */,_,/ *#/)},{begin:e(/# */,r(l,s),/ +/,r(_,c),/ *#/)}]},d={className:\"number\",relevance:0,variants:[{begin:/\\b\\d[\\d_]*((\\.[\\d_]+(E[+-]?[\\d_]+)?)|(E[+-]?[\\d_]+))[RFD@!#]?/},{begin:/\\b\\d[\\d_]*((U?[SIL])|[%&])?/},{begin:/&H[\\dA-F_]+((U?[SIL])|[%&])?/},{begin:/&O[0-7_]+((U?[SIL])|[%&])?/},{begin:/&B[01_]+((U?[SIL])|[%&])?/}]},u={className:\"label\",begin:/^\\w+:/},p=a.COMMENT(/'''/,/$/,{contains:[{className:\"doctag\",begin:/<\\/?/,end:/>/}]}),T=a.COMMENT(null,/$/,{variants:[{begin:/'/},{begin:/([\\t ]|^)REM(?=\\s)/}]});return{name:\"Visual Basic .NET\",aliases:[\"vb\"],case_insensitive:!0,classNameAliases:{label:\"symbol\"},keywords:{keyword:\"addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield\",built_in:\"addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort\",type:\"boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort\",literal:\"true false nothing\"},illegal:\"//|\\\\{|\\\\}|endif|gosub|variant|wend|^\\\\$ \",contains:[i,o,E,d,u,p,T,{className:\"meta\",begin:/[\\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\\b/,end:/$/,keywords:{\"meta-keyword\":\"const disable else elseif enable end externalsource if region then\"},contains:[T]}]}}return qr=n,qr}var Hr,zl;function Du(){if(zl)return Hr;zl=1;function t(a){return a?typeof a==\"string\"?a:a.source:null}function e(...a){return a.map(o=>t(o)).join(\"\")}function r(...a){return\"(\"+a.map(o=>t(o)).join(\"|\")+\")\"}function n(a){const i=\"lcase month vartype instrrev ubound setlocale getobject rgb getref string weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency conversions csng timevalue second year space abs clng timeserial fixs len asc isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim strcomp int createobject loadpicture tan formatnumber mid split cint sin datepart ltrim sqr time derived eval date formatpercent exp inputbox left ascw chrw regexp cstr err\".split(\" \"),o=[\"server\",\"response\",\"request\",\"scriptengine\",\"scriptenginebuildversion\",\"scriptengineminorversion\",\"scriptenginemajorversion\"],s={begin:e(r(...i),\"\\\\s*\\\\(\"),relevance:0,keywords:{built_in:i}};return{name:\"VBScript\",aliases:[\"vbs\"],case_insensitive:!0,keywords:{keyword:\"call class const dim do loop erase execute executeglobal exit for each next function if then else on error option explicit new private property let get public randomize redim rem select case set stop sub while wend with end to elseif is or xor and not class_initialize class_terminate default preserve in me byval byref step resume goto\",built_in:o,literal:\"true false null nothing empty\"},illegal:\"//\",contains:[s,a.inherit(a.QUOTE_STRING_MODE,{contains:[{begin:'\"\"'}]}),a.COMMENT(/'/,/$/,{relevance:0}),a.C_NUMBER_MODE]}}return Hr=n,Hr}var Vr,Kl;function hu(){if(Kl)return Vr;Kl=1;function t(e){return{name:\"VBScript in HTML\",subLanguage:\"xml\",contains:[{begin:\"<%\",end:\"%>\",subLanguage:\"vbscript\"}]}}return Vr=t,Vr}var Wr,$l;function Mu(){if($l)return Wr;$l=1;function t(e){return{name:\"Verilog\",aliases:[\"v\",\"sv\",\"svh\"],case_insensitive:!1,keywords:{$pattern:/[\\w\\$]+/,keyword:\"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor\",literal:\"null\",built_in:\"$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale $bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat $realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson $assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff $assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk $fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control $coverage_get $coverage_save $set_coverage_db_name $rose $stable $past $rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display $coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename $unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow $floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning $dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh $tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array $async$nand$array $async$or$array $async$nor$array $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system $display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo $write $readmemb $readmemh $writememh $value$plusargs $dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit $writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb $dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall $dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh $swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb $fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat $sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror\"},contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:\"number\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:\"\\\\b((\\\\d+'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)\"},{begin:\"\\\\B(('(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)\"},{begin:\"\\\\b([0-9_])+\",relevance:0}]},{className:\"variable\",variants:[{begin:\"#\\\\((?!parameter).+\\\\)\"},{begin:\"\\\\.\\\\w+\",relevance:0}]},{className:\"meta\",begin:\"`\",end:\"$\",keywords:{\"meta-keyword\":\"define __FILE__ __LINE__ begin_keywords celldefine default_nettype define else elsif end_keywords endcelldefine endif ifdef ifndef include line nounconnected_drive pragma resetall timescale unconnected_drive undef undefineall\"},relevance:0}]}}return Wr=t,Wr}var zr,Ql;function Lu(){if(Ql)return zr;Ql=1;function t(e){const r=\"\\\\d(_|\\\\d)*\",n=\"[eE][-+]?\"+r,a=r+\"(\\\\.\"+r+\")?(\"+n+\")?\",i=\"\\\\w+\",s=\"\\\\b(\"+(r+\"#\"+i+\"(\\\\.\"+i+\")?#(\"+n+\")?\")+\"|\"+a+\")\";return{name:\"VHDL\",case_insensitive:!0,keywords:{keyword:\"abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable view vmode vprop vunit wait when while with xnor xor\",built_in:\"boolean bit character integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_logic std_logic_vector unsigned signed boolean_vector integer_vector std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed real_vector time_vector\",literal:\"false true note warning error failure line text side width\"},illegal:/\\{/,contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(\"--\",\"$\"),e.QUOTE_STRING_MODE,{className:\"number\",begin:s,relevance:0},{className:\"string\",begin:\"'(U|X|0|1|Z|W|L|H|-)'\",contains:[e.BACKSLASH_ESCAPE]},{className:\"symbol\",begin:\"'[A-Za-z](_?[A-Za-z0-9])*\",contains:[e.BACKSLASH_ESCAPE]}]}}return zr=t,zr}var Kr,Xl;function xu(){if(Xl)return Kr;Xl=1;function t(e){return{name:\"Vim Script\",keywords:{$pattern:/[!#@\\w]+/,keyword:\"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank\",built_in:\"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp\"},illegal:/;/,contains:[e.NUMBER_MODE,{className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\"},{className:\"string\",begin:/\"(\\\\\"|\\n\\\\|[^\"\\n])*\"/},e.COMMENT('\"',\"$\"),{className:\"variable\",begin:/[bwtglsav]:[\\w\\d_]*/},{className:\"function\",beginKeywords:\"function function!\",end:\"$\",relevance:0,contains:[e.TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},{className:\"symbol\",begin:/<[\\w-]+>/}]}}return Kr=t,Kr}var $r,Zl;function Pu(){if(Zl)return $r;Zl=1;function t(e){return{name:\"Intel x86 Assembly\",case_insensitive:!0,keywords:{$pattern:\"[.%]?\"+e.IDENT_RE,keyword:\"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63\",built_in:\"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr\",meta:\"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__\"},contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"number\",variants:[{begin:\"\\\\b(?:([0-9][0-9_]*)?\\\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\\\b\",relevance:0},{begin:\"\\\\$[0-9][0-9A-Fa-f]*\",relevance:0},{begin:\"\\\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\\\b\"},{begin:\"\\\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\\\b\"}]},e.QUOTE_STRING_MODE,{className:\"string\",variants:[{begin:\"'\",end:\"[^\\\\\\\\]'\"},{begin:\"`\",end:\"[^\\\\\\\\]`\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)\"},{begin:\"^\\\\s*%%[A-Za-z0-9_$#@~.?]*:\"}],relevance:0},{className:\"subst\",begin:\"%[0-9]+\",relevance:0},{className:\"subst\",begin:\"%!S+\",relevance:0},{className:\"meta\",begin:/^\\s*\\.[\\w_-]+/}]}}return $r=t,$r}var Qr,Jl;function wu(){if(Jl)return Qr;Jl=1;function t(e){const n={$pattern:/[a-zA-Z][a-zA-Z0-9_?]*/,keyword:\"if then else do while until for loop import with is as where when by data constant integer real text name boolean symbol infix prefix postfix block tree\",literal:\"true false nil\",built_in:\"in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin acos atan exp expm1 log log2 log10 log1p pi at text_length text_range text_find text_replace contains page slide basic_slide title_slide title subtitle fade_in fade_out fade_at clear_color color line_color line_width texture_wrap texture_transform texture scale_?x scale_?y scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y rotate_?z? rectangle circle ellipse sphere path line_to move_to quad_to curve_to theme background contents locally time mouse_?x mouse_?y mouse_buttons \"+\"ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts\"},a={className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\"},i={className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\"},o={className:\"string\",begin:\"<<\",end:\">>\"},s={className:\"number\",begin:\"[0-9]+#[0-9A-Z_]+(\\\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?\"},l={beginKeywords:\"import\",end:\"$\",keywords:n,contains:[a]},_={className:\"function\",begin:/[a-z][^\\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:n}})]};return{name:\"XL\",aliases:[\"tao\"],keywords:n,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,i,o,_,l,s,e.NUMBER_MODE]}}return Qr=t,Qr}var Xr,jl;function ku(){if(jl)return Xr;jl=1;function t(e){return{name:\"XQuery\",aliases:[\"xpath\",\"xq\"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:\"module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit declare import option function validate variable for at in let where order group by return if then else tumbling sliding window start when only end previous next stable ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch and or to union intersect instance of treat as castable cast map array delete insert into replace value rename copy modify update\",type:\"item document-node node attribute document element comment namespace namespace-node processing-instruction text construction xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration\",literal:\"eq ne lt le gt ge is self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: NaN\"},contains:[{className:\"variable\",begin:/[$][\\w\\-:]+/},{className:\"built_in\",variants:[{begin:/\\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\\b/},{begin:/\\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\\b/},{begin:/\\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\\b/},{begin:/\\bop:/,end:/\\(/,excludeEnd:!0},{begin:/\\bfn:/,end:/\\(/,excludeEnd:!0},{begin:/[^/,end:/(\\/[\\w._:-]+>)/,subLanguage:\"xml\",contains:[{begin:/\\{/,end:/\\}/,subLanguage:\"xquery\"},\"self\"]}]}}return Xr=t,Xr}var Zr,ec;function Uu(){if(ec)return Zr;ec=1;function t(e){const r={className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},n=e.UNDERSCORE_TITLE_MODE,a={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},i=\"namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined\";return{name:\"Zephir\",aliases:[\"zep\"],keywords:i,contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(/\\/\\*/,/\\*\\//,{contains:[{className:\"doctag\",begin:/@[A-Za-z]+/}]}),{className:\"string\",begin:/<<<['\"]?\\w+['\"]?$/,end:/^\\w+;/,contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/},{className:\"function\",beginKeywords:\"function fn\",end:/[;{]/,excludeEnd:!0,illegal:/\\$|\\[|%/,contains:[n,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:i,contains:[\"self\",e.C_BLOCK_COMMENT_MODE,r,a]}]},{className:\"class\",beginKeywords:\"class interface\",end:/\\{/,excludeEnd:!0,illegal:/[:($\"]/,contains:[{beginKeywords:\"extends implements\"},n]},{beginKeywords:\"namespace\",end:/;/,illegal:/[.']/,contains:[n]},{beginKeywords:\"use\",end:/;/,contains:[n]},{begin:/=>/},r,a]}}return Zr=t,Zr}var Jr,tc;function Fu(){if(tc)return Jr;tc=1;var t=lc();return Jr=t,t.registerLanguage(\"1c\",cc()),t.registerLanguage(\"abnf\",_c()),t.registerLanguage(\"accesslog\",dc()),t.registerLanguage(\"actionscript\",uc()),t.registerLanguage(\"ada\",mc()),t.registerLanguage(\"angelscript\",Ec()),t.registerLanguage(\"apache\",pc()),t.registerLanguage(\"applescript\",gc()),t.registerLanguage(\"arcade\",Sc()),t.registerLanguage(\"arduino\",Tc()),t.registerLanguage(\"armasm\",bc()),t.registerLanguage(\"xml\",Rc()),t.registerLanguage(\"asciidoc\",fc()),t.registerLanguage(\"aspectj\",Nc()),t.registerLanguage(\"autohotkey\",Cc()),t.registerLanguage(\"autoit\",Oc()),t.registerLanguage(\"avrasm\",Ic()),t.registerLanguage(\"awk\",Ac()),t.registerLanguage(\"axapta\",vc()),t.registerLanguage(\"bash\",yc()),t.registerLanguage(\"basic\",Dc()),t.registerLanguage(\"bnf\",hc()),t.registerLanguage(\"brainfuck\",Mc()),t.registerLanguage(\"c-like\",Lc()),t.registerLanguage(\"c\",xc()),t.registerLanguage(\"cal\",Pc()),t.registerLanguage(\"capnproto\",wc()),t.registerLanguage(\"ceylon\",kc()),t.registerLanguage(\"clean\",Uc()),t.registerLanguage(\"clojure\",Fc()),t.registerLanguage(\"clojure-repl\",Bc()),t.registerLanguage(\"cmake\",Gc()),t.registerLanguage(\"coffeescript\",Yc()),t.registerLanguage(\"coq\",qc()),t.registerLanguage(\"cos\",Hc()),t.registerLanguage(\"cpp\",Vc()),t.registerLanguage(\"crmsh\",Wc()),t.registerLanguage(\"crystal\",zc()),t.registerLanguage(\"csharp\",Kc()),t.registerLanguage(\"csp\",$c()),t.registerLanguage(\"css\",Qc()),t.registerLanguage(\"d\",Xc()),t.registerLanguage(\"markdown\",Zc()),t.registerLanguage(\"dart\",Jc()),t.registerLanguage(\"delphi\",jc()),t.registerLanguage(\"diff\",e_()),t.registerLanguage(\"django\",t_()),t.registerLanguage(\"dns\",n_()),t.registerLanguage(\"dockerfile\",a_()),t.registerLanguage(\"dos\",r_()),t.registerLanguage(\"dsconfig\",i_()),t.registerLanguage(\"dts\",o_()),t.registerLanguage(\"dust\",s_()),t.registerLanguage(\"ebnf\",l_()),t.registerLanguage(\"elixir\",c_()),t.registerLanguage(\"elm\",__()),t.registerLanguage(\"ruby\",d_()),t.registerLanguage(\"erb\",u_()),t.registerLanguage(\"erlang-repl\",m_()),t.registerLanguage(\"erlang\",E_()),t.registerLanguage(\"excel\",p_()),t.registerLanguage(\"fix\",g_()),t.registerLanguage(\"flix\",S_()),t.registerLanguage(\"fortran\",T_()),t.registerLanguage(\"fsharp\",b_()),t.registerLanguage(\"gams\",R_()),t.registerLanguage(\"gauss\",f_()),t.registerLanguage(\"gcode\",N_()),t.registerLanguage(\"gherkin\",C_()),t.registerLanguage(\"glsl\",O_()),t.registerLanguage(\"gml\",I_()),t.registerLanguage(\"go\",A_()),t.registerLanguage(\"golo\",v_()),t.registerLanguage(\"gradle\",y_()),t.registerLanguage(\"groovy\",D_()),t.registerLanguage(\"haml\",h_()),t.registerLanguage(\"handlebars\",M_()),t.registerLanguage(\"haskell\",L_()),t.registerLanguage(\"haxe\",x_()),t.registerLanguage(\"hsp\",P_()),t.registerLanguage(\"htmlbars\",w_()),t.registerLanguage(\"http\",k_()),t.registerLanguage(\"hy\",U_()),t.registerLanguage(\"inform7\",F_()),t.registerLanguage(\"ini\",B_()),t.registerLanguage(\"irpf90\",G_()),t.registerLanguage(\"isbl\",Y_()),t.registerLanguage(\"java\",q_()),t.registerLanguage(\"javascript\",H_()),t.registerLanguage(\"jboss-cli\",V_()),t.registerLanguage(\"json\",W_()),t.registerLanguage(\"julia\",z_()),t.registerLanguage(\"julia-repl\",K_()),t.registerLanguage(\"kotlin\",$_()),t.registerLanguage(\"lasso\",Q_()),t.registerLanguage(\"latex\",X_()),t.registerLanguage(\"ldif\",Z_()),t.registerLanguage(\"leaf\",J_()),t.registerLanguage(\"less\",j_()),t.registerLanguage(\"lisp\",ed()),t.registerLanguage(\"livecodeserver\",td()),t.registerLanguage(\"livescript\",nd()),t.registerLanguage(\"llvm\",ad()),t.registerLanguage(\"lsl\",rd()),t.registerLanguage(\"lua\",id()),t.registerLanguage(\"makefile\",od()),t.registerLanguage(\"mathematica\",sd()),t.registerLanguage(\"matlab\",ld()),t.registerLanguage(\"maxima\",cd()),t.registerLanguage(\"mel\",_d()),t.registerLanguage(\"mercury\",dd()),t.registerLanguage(\"mipsasm\",ud()),t.registerLanguage(\"mizar\",md()),t.registerLanguage(\"perl\",Ed()),t.registerLanguage(\"mojolicious\",pd()),t.registerLanguage(\"monkey\",gd()),t.registerLanguage(\"moonscript\",Sd()),t.registerLanguage(\"n1ql\",Td()),t.registerLanguage(\"nginx\",bd()),t.registerLanguage(\"nim\",Rd()),t.registerLanguage(\"nix\",fd()),t.registerLanguage(\"node-repl\",Nd()),t.registerLanguage(\"nsis\",Cd()),t.registerLanguage(\"objectivec\",Od()),t.registerLanguage(\"ocaml\",Id()),t.registerLanguage(\"openscad\",Ad()),t.registerLanguage(\"oxygene\",vd()),t.registerLanguage(\"parser3\",yd()),t.registerLanguage(\"pf\",Dd()),t.registerLanguage(\"pgsql\",hd()),t.registerLanguage(\"php\",Md()),t.registerLanguage(\"php-template\",Ld()),t.registerLanguage(\"plaintext\",xd()),t.registerLanguage(\"pony\",Pd()),t.registerLanguage(\"powershell\",wd()),t.registerLanguage(\"processing\",kd()),t.registerLanguage(\"profile\",Ud()),t.registerLanguage(\"prolog\",Fd()),t.registerLanguage(\"properties\",Bd()),t.registerLanguage(\"protobuf\",Gd()),t.registerLanguage(\"puppet\",Yd()),t.registerLanguage(\"purebasic\",qd()),t.registerLanguage(\"python\",Hd()),t.registerLanguage(\"python-repl\",Vd()),t.registerLanguage(\"q\",Wd()),t.registerLanguage(\"qml\",zd()),t.registerLanguage(\"r\",Kd()),t.registerLanguage(\"reasonml\",$d()),t.registerLanguage(\"rib\",Qd()),t.registerLanguage(\"roboconf\",Xd()),t.registerLanguage(\"routeros\",Zd()),t.registerLanguage(\"rsl\",Jd()),t.registerLanguage(\"ruleslanguage\",jd()),t.registerLanguage(\"rust\",eu()),t.registerLanguage(\"sas\",tu()),t.registerLanguage(\"scala\",nu()),t.registerLanguage(\"scheme\",au()),t.registerLanguage(\"scilab\",ru()),t.registerLanguage(\"scss\",iu()),t.registerLanguage(\"shell\",ou()),t.registerLanguage(\"smali\",su()),t.registerLanguage(\"smalltalk\",lu()),t.registerLanguage(\"sml\",cu()),t.registerLanguage(\"sqf\",_u()),t.registerLanguage(\"sql_more\",du()),t.registerLanguage(\"sql\",uu()),t.registerLanguage(\"stan\",mu()),t.registerLanguage(\"stata\",Eu()),t.registerLanguage(\"step21\",pu()),t.registerLanguage(\"stylus\",gu()),t.registerLanguage(\"subunit\",Su()),t.registerLanguage(\"swift\",Tu()),t.registerLanguage(\"taggerscript\",bu()),t.registerLanguage(\"yaml\",Ru()),t.registerLanguage(\"tap\",fu()),t.registerLanguage(\"tcl\",Nu()),t.registerLanguage(\"thrift\",Cu()),t.registerLanguage(\"tp\",Ou()),t.registerLanguage(\"twig\",Iu()),t.registerLanguage(\"typescript\",Au()),t.registerLanguage(\"vala\",vu()),t.registerLanguage(\"vbnet\",yu()),t.registerLanguage(\"vbscript\",Du()),t.registerLanguage(\"vbscript-html\",hu()),t.registerLanguage(\"verilog\",Mu()),t.registerLanguage(\"vhdl\",Lu()),t.registerLanguage(\"vim\",xu()),t.registerLanguage(\"x86asm\",Pu()),t.registerLanguage(\"xl\",wu()),t.registerLanguage(\"xquery\",ku()),t.registerLanguage(\"zephir\",Uu()),Jr}var Bu=Fu();const Gu=ac(Bu),Yu=[\"1c\",\"abnf\",\"accesslog\",\"actionscript\",\"ada\",\"angelscript\",\"apache\",\"applescript\",\"arcade\",\"arduino\",\"armasm\",\"asciidoc\",\"aspectj\",\"autohotkey\",\"autoit\",\"avrasm\",\"awk\",\"axapta\",\"bash\",\"basic\",\"bnf\",\"brainfuck\",\"c-like\",\"c\",\"cal\",\"capnproto\",\"ceylon\",\"clean\",\"clojure-repl\",\"clojure\",\"cmake\",\"coffeescript\",\"coq\",\"cos\",\"cpp\",\"crmsh\",\"crystal\",\"csharp\",\"csp\",\"css\",\"d\",\"dart\",\"delphi\",\"diff\",\"django\",\"dns\",\"dockerfile\",\"dos\",\"dsconfig\",\"dts\",\"dust\",\"ebnf\",\"elixir\",\"elm\",\"erb\",\"erlang-repl\",\"erlang\",\"excel\",\"fix\",\"flix\",\"fortran\",\"fsharp\",\"gams\",\"gauss\",\"gcode\",\"gherkin\",\"glsl\",\"gml\",\"go\",\"golo\",\"gradle\",\"groovy\",\"haml\",\"handlebars\",\"haskell\",\"haxe\",\"hsp\",\"htmlbars\",\"http\",\"hy\",\"inform7\",\"ini\",\"irpf90\",\"isbl\",\"java\",\"javascript\",\"jboss-cli\",\"json\",\"julia-repl\",\"julia\",\"kotlin\",\"lasso\",\"latex\",\"ldif\",\"leaf\",\"less\",\"lisp\",\"livecodeserver\",\"livescript\",\"llvm\",\"lsl\",\"lua\",\"makefile\",\"markdown\",\"mathematica\",\"matlab\",\"maxima\",\"mel\",\"mercury\",\"mipsasm\",\"mizar\",\"mojolicious\",\"monkey\",\"moonscript\",\"n1ql\",\"nginx\",\"nim\",\"nix\",\"node-repl\",\"nsis\",\"objectivec\",\"ocaml\",\"openscad\",\"oxygene\",\"parser3\",\"perl\",\"pf\",\"pgsql\",\"php-template\",\"php\",\"plaintext\",\"pony\",\"powershell\",\"processing\",\"profile\",\"prolog\",\"properties\",\"protobuf\",\"puppet\",\"purebasic\",\"python-repl\",\"python\",\"q\",\"qml\",\"r\",\"reasonml\",\"rib\",\"roboconf\",\"routeros\",\"rsl\",\"ruby\",\"ruleslanguage\",\"rust\",\"sas\",\"scala\",\"scheme\",\"scilab\",\"scss\",\"shell\",\"smali\",\"smalltalk\",\"sml\",\"sqf\",\"sql\",\"sql_more\",\"stan\",\"stata\",\"step21\",\"stylus\",\"subunit\",\"swift\",\"taggerscript\",\"tap\",\"tcl\",\"thrift\",\"tp\",\"twig\",\"typescript\",\"vala\",\"vbnet\",\"vbscript-html\",\"vbscript\",\"verilog\",\"vhdl\",\"vim\",\"x86asm\",\"xl\",\"xml\",\"xquery\",\"yaml\",\"zephir\"];var qu=nc(Gu,rc);qu.supportedLanguages=Yu;export{qu as h};\n" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": 0, + "_transferSize": 0, + "_error": null, + "_fetchedViaServiceWorker": false, + "_fulfilledBy": "(disk cache)" + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:31.290Z", + "time": 52.181999999447726, + "timings": { + "blocked": 10.767999999553256, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0, + "wait": 3.425999999853259, + "receive": 37.98800000004121, + "_blocked_queueing": 4.949999999553256, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_fromCache": "disk", + "_initiator": { + "type": "script", + "lineNumber": 0, + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 736 + }, + { + "functionName": "y", + "scriptId": "2230", + "url": "https://ai.azure.com/assets/preload-helper-ckwbz45p.js", + "lineNumber": 0, + "columnNumber": 430 + }, + { + "functionName": "", + "scriptId": "2488", + "url": "https://ai.azure.com/assets/UserMessage-D31FyUCa.js", + "lineNumber": 1, + "columnNumber": 50205 + }, + { + "functionName": "J", + "scriptId": "2224", + "url": "https://ai.azure.com/assets/chunk-AYJ5UCUI-CHZl0uAp.js", + "lineNumber": 8, + "columnNumber": 3360 + }, + { + "functionName": "sa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 44559 + }, + { + "functionName": "la", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39795 + }, + { + "functionName": "tc", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39726 + }, + { + "functionName": "ml", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 39584 + }, + { + "functionName": "na", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36723 + }, + { + "functionName": "wn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 3257 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 34294 + } + ] + } + }, + "_priority": "High", + "_resourceType": "script", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "GET", + "url": "https://ai.azure.com/assets/highlight-DCOhupYJ.js", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "Origin", + "value": "https://ai.azure.com" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "Referer", + "value": "" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "User-Agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 0 + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "x-azure-ref", + "value": "20251216T185944Z-1776d656d45qbh4thC1BY1agbg00000007cg000000008hqq" + }, + { + "name": "access-control-expose-headers", + "value": "Accept-Ranges,Content-Length,Content-Range,Content-Type,Date,ETag,Last-Modified,Server,x-ms-request-id,x-ms-version" + }, + { + "name": "x-ms-version", + "value": "2018-03-28" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "etag", + "value": "W/\"0x8DE39B7F73F7B57\"" + }, + { + "name": "x-fd-int-roxy-purgeid", + "value": "0" + }, + { + "name": "x-ms-request-id", + "value": "66819f31-401e-0014-476b-6c82d2000000" + }, + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "x-cache", + "value": "TCP_HIT" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 18:59:44 GMT" + }, + { + "name": "content-type", + "value": "application/javascript" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "last-modified", + "value": "Fri, 12 Dec 2025 19:52:22 GMT" + } + ], + "cookies": [], + "content": { + "size": 14072, + "mimeType": "application/javascript", + "text": "import{R as O}from\"./chunk-AYJ5UCUI-CHZl0uAp.js\";function ge(e,t){if(e==null)return{};var c={};for(var n in e)if({}.hasOwnProperty.call(e,n)){if(t.indexOf(n)!==-1)continue;c[n]=e[n]}return c}function me(e,t){if(e==null)return{};var c,n,o=ge(e,t);if(Object.getOwnPropertySymbols){var a=Object.getOwnPropertySymbols(e);for(n=0;ne.length)&&(t=e.length);for(var c=0,n=Array(t);c=4)return[e[0],e[1],e[2],e[3],\"\".concat(e[0],\".\").concat(e[1]),\"\".concat(e[0],\".\").concat(e[2]),\"\".concat(e[0],\".\").concat(e[3]),\"\".concat(e[1],\".\").concat(e[0]),\"\".concat(e[1],\".\").concat(e[2]),\"\".concat(e[1],\".\").concat(e[3]),\"\".concat(e[2],\".\").concat(e[0]),\"\".concat(e[2],\".\").concat(e[1]),\"\".concat(e[2],\".\").concat(e[3]),\"\".concat(e[3],\".\").concat(e[0]),\"\".concat(e[3],\".\").concat(e[1]),\"\".concat(e[3],\".\").concat(e[2]),\"\".concat(e[0],\".\").concat(e[1],\".\").concat(e[2]),\"\".concat(e[0],\".\").concat(e[1],\".\").concat(e[3]),\"\".concat(e[0],\".\").concat(e[2],\".\").concat(e[1]),\"\".concat(e[0],\".\").concat(e[2],\".\").concat(e[3]),\"\".concat(e[0],\".\").concat(e[3],\".\").concat(e[1]),\"\".concat(e[0],\".\").concat(e[3],\".\").concat(e[2]),\"\".concat(e[1],\".\").concat(e[0],\".\").concat(e[2]),\"\".concat(e[1],\".\").concat(e[0],\".\").concat(e[3]),\"\".concat(e[1],\".\").concat(e[2],\".\").concat(e[0]),\"\".concat(e[1],\".\").concat(e[2],\".\").concat(e[3]),\"\".concat(e[1],\".\").concat(e[3],\".\").concat(e[0]),\"\".concat(e[1],\".\").concat(e[3],\".\").concat(e[2]),\"\".concat(e[2],\".\").concat(e[0],\".\").concat(e[1]),\"\".concat(e[2],\".\").concat(e[0],\".\").concat(e[3]),\"\".concat(e[2],\".\").concat(e[1],\".\").concat(e[0]),\"\".concat(e[2],\".\").concat(e[1],\".\").concat(e[3]),\"\".concat(e[2],\".\").concat(e[3],\".\").concat(e[0]),\"\".concat(e[2],\".\").concat(e[3],\".\").concat(e[1]),\"\".concat(e[3],\".\").concat(e[0],\".\").concat(e[1]),\"\".concat(e[3],\".\").concat(e[0],\".\").concat(e[2]),\"\".concat(e[3],\".\").concat(e[1],\".\").concat(e[0]),\"\".concat(e[3],\".\").concat(e[1],\".\").concat(e[2]),\"\".concat(e[3],\".\").concat(e[2],\".\").concat(e[0]),\"\".concat(e[3],\".\").concat(e[2],\".\").concat(e[1]),\"\".concat(e[0],\".\").concat(e[1],\".\").concat(e[2],\".\").concat(e[3]),\"\".concat(e[0],\".\").concat(e[1],\".\").concat(e[3],\".\").concat(e[2]),\"\".concat(e[0],\".\").concat(e[2],\".\").concat(e[1],\".\").concat(e[3]),\"\".concat(e[0],\".\").concat(e[2],\".\").concat(e[3],\".\").concat(e[1]),\"\".concat(e[0],\".\").concat(e[3],\".\").concat(e[1],\".\").concat(e[2]),\"\".concat(e[0],\".\").concat(e[3],\".\").concat(e[2],\".\").concat(e[1]),\"\".concat(e[1],\".\").concat(e[0],\".\").concat(e[2],\".\").concat(e[3]),\"\".concat(e[1],\".\").concat(e[0],\".\").concat(e[3],\".\").concat(e[2]),\"\".concat(e[1],\".\").concat(e[2],\".\").concat(e[0],\".\").concat(e[3]),\"\".concat(e[1],\".\").concat(e[2],\".\").concat(e[3],\".\").concat(e[0]),\"\".concat(e[1],\".\").concat(e[3],\".\").concat(e[0],\".\").concat(e[2]),\"\".concat(e[1],\".\").concat(e[3],\".\").concat(e[2],\".\").concat(e[0]),\"\".concat(e[2],\".\").concat(e[0],\".\").concat(e[1],\".\").concat(e[3]),\"\".concat(e[2],\".\").concat(e[0],\".\").concat(e[3],\".\").concat(e[1]),\"\".concat(e[2],\".\").concat(e[1],\".\").concat(e[0],\".\").concat(e[3]),\"\".concat(e[2],\".\").concat(e[1],\".\").concat(e[3],\".\").concat(e[0]),\"\".concat(e[2],\".\").concat(e[3],\".\").concat(e[0],\".\").concat(e[1]),\"\".concat(e[2],\".\").concat(e[3],\".\").concat(e[1],\".\").concat(e[0]),\"\".concat(e[3],\".\").concat(e[0],\".\").concat(e[1],\".\").concat(e[2]),\"\".concat(e[3],\".\").concat(e[0],\".\").concat(e[2],\".\").concat(e[1]),\"\".concat(e[3],\".\").concat(e[1],\".\").concat(e[0],\".\").concat(e[2]),\"\".concat(e[3],\".\").concat(e[1],\".\").concat(e[2],\".\").concat(e[0]),\"\".concat(e[3],\".\").concat(e[2],\".\").concat(e[0],\".\").concat(e[1]),\"\".concat(e[3],\".\").concat(e[2],\".\").concat(e[1],\".\").concat(e[0])]}var M={};function re(e){if(e.length===0||e.length===1)return e;var t=e.join(\".\");return M[t]||(M[t]=he(e)),M[t]}function Ne(e){var t=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},c=arguments.length>2?arguments[2]:void 0,n=e.filter(function(a){return a!==\"token\"}),o=re(n);return o.reduce(function(a,l){return $($({},a),c[l])},t)}function ee(e){return e.join(\" \")}function Se(e,t){var c=0;return function(n){return c+=1,n.map(function(o,a){return ce({node:o,stylesheet:e,useInlineStyles:t,key:\"code-segment-\".concat(c,\"-\").concat(a)})})}}function ce(e){var t=e.node,c=e.stylesheet,n=e.style,o=n===void 0?{}:n,a=e.useInlineStyles,l=e.key,i=t.properties,h=t.type,d=t.tagName,u=t.value;if(h===\"text\")return u;if(d){var s=Se(c,a),y;if(!a)y=$($({},i),{},{className:ee(i.className)});else{var m=Object.keys(c).reduce(function(b,S){return S.split(\".\").forEach(function(w){b.includes(w)||b.push(w)}),b},[]),p=i.className&&i.className.includes(\"token\")?[\"token\"]:[],N=i.className&&p.concat(i.className.filter(function(b){return!m.includes(b)}));y=$($({},i),{},{className:ee(N)||void 0,style:Ne(i.className,Object.assign({},i.style,o),c)})}var r=s(t.children);return O.createElement(d,Q({key:l},y),r)}}const we=(function(e,t){var c=e.listLanguages();return c.indexOf(t)!==-1});var Le=[\"language\",\"children\",\"style\",\"customStyle\",\"codeTagProps\",\"useInlineStyles\",\"showLineNumbers\",\"showInlineLineNumbers\",\"startingLineNumber\",\"lineNumberContainerStyle\",\"lineNumberStyle\",\"wrapLines\",\"wrapLongLines\",\"lineProps\",\"renderer\",\"PreTag\",\"CodeTag\",\"code\",\"astGenerator\"];function te(e,t){var c=Object.keys(e);if(Object.getOwnPropertySymbols){var n=Object.getOwnPropertySymbols(e);t&&(n=n.filter(function(o){return Object.getOwnPropertyDescriptor(e,o).enumerable})),c.push.apply(c,n)}return c}function j(e){for(var t=1;t1&&arguments[1]!==void 0?arguments[1]:[],c=arguments.length>2&&arguments[2]!==void 0?arguments[2]:[],n=0;n2&&arguments[2]!==void 0?arguments[2]:[];return U({children:v,lineNumber:g,lineNumberStyle:i,largestLineNumber:l,showInlineLineNumbers:o,lineProps:c,className:f,showLineNumbers:n,wrapLongLines:h,wrapLines:t})}function N(v,g){if(n&&g&&o){var f=ae(i,g,l);v.unshift(oe(g,f))}return v}function r(v,g){var f=arguments.length>2&&arguments[2]!==void 0?arguments[2]:[];return t||f.length>0?p(v,g,f):N(v,g)}for(var b=function(){var g=u[m],f=g.children[0].value,R=je(f);if(R){var C=f.split(`\n`);C.forEach(function(E,W){var L=n&&s.length+a,k={type:\"text\",value:\"\".concat(E,`\n`)};if(W===0){var G=u.slice(y+1,m).concat(U({children:[k],className:g.properties.className})),H=r(G,L);s.push(H)}else if(W===C.length-1){var K=u[m+1]&&u[m+1].children&&u[m+1].children[0],A={type:\"text\",value:\"\".concat(E)};if(K){var x=U({children:[A],className:g.properties.className});u.splice(m+1,0,x)}else{var P=[A],I=r(P,L,g.properties.className);s.push(I)}}else{var V=[k],F=r(V,L,g.properties.className);s.push(F)}}),y=m}m++};m\r\n504 Gateway Time-out\r\n\r\n

504 Gateway Time-out

\r\n
nginx
\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n\r\n" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 860, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:32.057Z", + "time": 60042.78499999964, + "timings": { + "blocked": 7.13999999976682, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 1.7229999999999999, + "wait": 60029.5829999998, + "receive": 4.339000000072701, + "_blocked_queueing": 2.65899999976682, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40412", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "H", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 43181 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9644 + }, + { + "functionName": "h.preparePayload", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 41046 + }, + { + "functionName": "ue", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9609 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5264 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 6278 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11922 + }, + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "n.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11145 + } + ], + "parent": { + "description": "setTimeout", + "callFrames": [ + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11256 + }, + { + "functionName": "sm", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 10650 + }, + { + "functionName": "ph", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11179 + }, + { + "functionName": "Xt", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11330 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11896 + }, + { + "functionName": "T.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5786 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "o.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 31204 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "L.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 16, + "columnNumber": 8455 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11184 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "C.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 21378 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "t.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28794 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 35620 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33851 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "b.track", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33586 + }, + { + "functionName": "O.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 21334 + }, + { + "functionName": "te", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28725 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 26120 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27127 + }, + { + "functionName": "kn", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27160 + }, + { + "functionName": "Oe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25617 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25201 + } + ], + "parent": { + "description": "XMLHttpRequest.send", + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 9777 + }, + { + "functionName": "refetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 9578 + }, + { + "functionName": "onFocus", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 2551 + }, + { + "functionName": "", + "scriptId": "2225", + "url": "https://ai.azure.com/assets/root-rUxqgI1U.js", + "lineNumber": 1, + "columnNumber": 13473 + }, + { + "functionName": "", + "scriptId": "2225", + "url": "https://ai.azure.com/assets/root-rUxqgI1U.js", + "lineNumber": 1, + "columnNumber": 13459 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "onFocus", + "scriptId": "2225", + "url": "https://ai.azure.com/assets/root-rUxqgI1U.js", + "lineNumber": 1, + "columnNumber": 13434 + }, + { + "functionName": "", + "scriptId": "2225", + "url": "https://ai.azure.com/assets/root-rUxqgI1U.js", + "lineNumber": 1, + "columnNumber": 15664 + } + ], + "parent": { + "description": "await", + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 15361 + }, + { + "functionName": "onFocus", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 15349 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 15224 + }, + { + "functionName": "n", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 14807 + } + ] + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://westus2-2.in.applicationinsights.azure.com/v2/track", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "westus2-2.in.applicationinsights.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/v2/track" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "23662" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-site" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 23662, + "postData": { + "mimeType": "application/json", + "text": "[{\"time\":\"2025-12-16T19:55:24.907Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.c5433d841d574133.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?listDeploymentsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.087\",\"success\":true,\"data\":\"POST /nextgen/api/query?listDeploymentsResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"aea41f1b-9d9b-4711-ae6d-85c12bcddfeb\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.c5433d841d574133\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-c5433d841d574133-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvuw\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.030\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:24.996Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-e3846fbd-59d7-4acf-b752-6a59cd78488b.\",\"ver\":2,\"name\":\"listDeploymentsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.091\",\"success\":true,\"data\":\"listDeploymentsResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvuw\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.030\\\"}\",\"x-ms-client-request-id\":\"aea41f1b-9d9b-4711-ae6d-85c12bcddfeb\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"49204.40000000037\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:24.895Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.be5743a2bf1e4cc0.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?updatePresenceResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.212\",\"success\":true,\"data\":\"POST /nextgen/api/query?updatePresenceResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"d889f9ca-470b-426e-a536-3b0e3de2e4ff\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.be5743a2bf1e4cc0\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-be5743a2bf1e4cc0-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"text/plain;charset=UTF-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvuv\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.131\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:25.111Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-3a6e593c-8cd1-45e6-85e4-b1cf007c134b.\",\"ver\":2,\"name\":\"updatePresenceResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.217\",\"success\":true,\"data\":\"updatePresenceResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"text/plain;charset=UTF-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvuv\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.131\\\"}\",\"x-ms-client-request-id\":\"d889f9ca-470b-426e-a536-3b0e3de2e4ff\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"49193\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:24.910Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.e87afc2e414945d5.\",\"ver\":2,\"name\":\"GET /nextgen/api/getAgentApplication?subscriptionId=921496dc-987f-410f-bd57-426eb2611356&resourceGroup=rg-test-hugging-face-agent&aiResource=ai-account-x3pxnw7bdbexq&project=ai-project-test-hugging-face-agent&applicationName=HuggingFace-Agent\",\"resultCode\":\"404\",\"duration\":\"00:00:00.369\",\"success\":false,\"data\":\"GET /nextgen/api/getAgentApplication?subscriptionId=921496dc-987f-410f-bd57-426eb2611356&resourceGroup=rg-test-hugging-face-agent&aiResource=ai-account-x3pxnw7bdbexq&project=ai-project-test-hugging-face-agent&applicationName=HuggingFace-Agent\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"GET\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"20ce6974-5128-48a7-95f2-5dc93621837b\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.e87afc2e414945d5\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-e87afc2e414945d5-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"404\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvux\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.305\\\"}\",\"responseText\":\"- {\\\"error\\\":\\\"{\\\\\\\"error\\\\\\\":{\\\\\\\"code\\\\\\\":\\\\\\\"ApplicationNotFound\\\\\\\",\\\\\\\"message\\\\\\\":\\\\\\\"The application 'HuggingFace-Agent' was not found in workspace 'ai-account-x3pxnw7bdbexq@ai-project-test-hugging-face-agent@AML'.\\\\\\\",\\\\\\\"details\\\\\\\":[],\\\\\\\"additionalInfo\\\\\\\":[]}}\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:25.284Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-893352c6-656a-4f04-8049-1236fcfb01ba.\",\"ver\":2,\"name\":\"getAgentApplicationResolver\",\"resultCode\":\"404\",\"duration\":\"00:00:00.379\",\"success\":false,\"data\":\"getAgentApplicationResolver\",\"target\":\"ai.azure.com\",\"type\":\"GET\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:25 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"404\\\",\\\"x-azure-ref\\\":\\\"20251216T195525Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fvux\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"0.305\\\"}\",\"errorMessage\":\"\",\"errorCode\":\"Unknown\",\"x-ms-client-request-id\":\"20ce6974-5128-48a7-95f2-5dc93621837b\",\"parentRequestId\":\"0e058140-6135-4fbb-8fcf-54e89d383f40\",\"nthCall\":\"1\",\"operation\":\"GetAgentApplicationResolver\",\"startTime\":\"49204.40000000037\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:31.275Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.Event\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"EventData\",\"baseData\":{\"ver\":2,\"name\":\"AgentChatCompletion:Start:Success\",\"properties\":{\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\",\"agentId\":\"HuggingFace-Agent:2\",\"projectRegion\":\"northcentralus\",\"AgentKind\":\"hosted\",\"ToolSet\":\"{}\",\"ModelName\":\"\",\"ModelFormat\":\"\",\"ModelVersion\":\"\",\"IsCompareMode\":\"false\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:31.280Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.Event\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"EventData\",\"baseData\":{\"ver\":2,\"name\":\"SendPrompt:Submit:ButtonClick\",\"properties\":{\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\",\"agentId\":\"HuggingFace-Agent:2\",\"projectRegion\":\"northcentralus\",\"AgentKind\":\"hosted\",\"ToolSet\":\"{}\",\"ModelName\":\"\",\"ModelFormat\":\"\",\"ModelVersion\":\"\",\"ThreadId\":\"\",\"fileExtensions\":\"[]\",\"TelemetrySource\":\"ChatPlayground\",\"IsCompareMode\":\"false\"},\"measurements\":{\"fileAttachmentLength\":0,\"promptCharacterLength\":56,\"promptWordLength\":9}}}},{\"time\":\"2025-12-16T19:55:31.388Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.a16e99f5b62e4c9b.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?createAgentConversationResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.654\",\"success\":true,\"data\":\"POST /nextgen/api/query?createAgentConversationResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"65d1f1db-31b7-4e93-b241-38de35021137\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.a16e99f5b62e4c9b\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-a16e99f5b62e4c9b-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:32 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195531Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fw62\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-id\\\":\\\"78a00030525d547be79f6c239e74e190\\\",\\\"x-request-time\\\":\\\"0.592\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:32.047Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-44f1be97-3416-4221-8334-01cb269dde3f.\",\"ver\":2,\"name\":\"createAgentConversationResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:00.661\",\"success\":true,\"data\":\"createAgentConversationResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:32 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195531Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fw62\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-id\\\":\\\"78a00030525d547be79f6c239e74e190\\\",\\\"x-request-time\\\":\\\"0.592\\\"}\",\"x-ms-client-request-id\":\"65d1f1db-31b7-4e93-b241-38de35021137\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"55685\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}}]" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:55:40 GMT" + }, + { + "name": "server", + "value": "Microsoft-HTTPAPI/2.0" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + } + ], + "cookies": [], + "content": { + "size": 64, + "mimeType": "application/json", + "text": "{\"itemsReceived\":10,\"itemsAccepted\":10,\"appId\":null,\"errors\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 122, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "20.9.155.145", + "startedDateTime": "2025-12-16T19:55:40.008Z", + "time": 199.52099999954953, + "timings": { + "blocked": 11.380999999592314, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 2.0029999999999992, + "wait": 183.97300000041858, + "receive": 2.163999999538646, + "_blocked_queueing": 7.2309999995923135, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40365", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "onSubscribe", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 7712 + }, + { + "functionName": "subscribe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11900 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 16246 + }, + { + "functionName": "ls", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 19620 + }, + { + "functionName": "il", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 24324 + }, + { + "functionName": "Et", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 42430 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 40732 + }, + { + "functionName": "on", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 1650 + }, + { + "functionName": "Mn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 2043 + } + ], + "parentId": { + "id": "374", + "debuggerId": "-5478902268257892944.286849672221299019" + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://ai.azure.com/nextgen/api/query?listNotificationsResolver", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "ai.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/nextgen/api/query?listNotificationsResolver" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "273" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build?version=2" + }, + { + "name": "request-id", + "value": "|521dd65eba4745b2a4c2b08020bd8bd0.a96f968cf30948c2" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-origin" + }, + { + "name": "traceparent", + "value": "00-521dd65eba4745b2a4c2b08020bd8bd0-a96f968cf30948c2-01" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "x-agents-route-url", + "value": "/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build" + }, + { + "name": "x-azure-debuginfo", + "value": "1" + }, + { + "name": "x-ms-client-request-id", + "value": "312562a4-c105-4c61-9ed1-b87c4ec70a74" + }, + { + "name": "x-ms-client-user-type", + "value": "Azure AI Foundry" + }, + { + "name": "x-ms-user-agent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + }, + { + "name": "x-ms-useragent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + } + ], + "queryString": [ + { + "name": "listNotificationsResolver", + "value": "" + } + ], + "cookies": [], + "headersSize": -1, + "bodySize": 273, + "postData": { + "mimeType": "application/json", + "text": "{\"query\":\"listNotificationsResolver\",\"params\":{\"resourceId\":\"/subscriptions/921496dc-987f-410f-bd57-426eb2611356/resourceGroups/rg-test-hugging-face-agent/providers/Microsoft.CognitiveServices/accounts/ai-account-x3pxnw7bdbexq/projects/ai-project-test-hugging-face-agent\"}}" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "azureml-served-by-cluster", + "value": "vienna-westus-02" + }, + { + "name": "cache-control", + "value": "no-store, no-cache" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:55:44 GMT" + }, + { + "name": "request-context", + "value": "appId=cid-v1:" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000; includeSubDomains; preload" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "x-azure-originstatuscode", + "value": "200" + }, + { + "name": "x-azure-ref", + "value": "20251216T195542Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fwwk" + }, + { + "name": "x-cache", + "value": "CONFIG_NOCACHE" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + }, + { + "name": "x-request-time", + "value": "2.162" + } + ], + "cookies": [], + "content": { + "size": 12, + "mimeType": "application/json", + "text": "{\"value\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 856, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:55:42.165Z", + "time": 2207.51300000029, + "timings": { + "blocked": 3.8110000004873728, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 1.2650000000000001, + "wait": 2195.3149999998213, + "receive": 7.121999999981199, + "_blocked_queueing": 1.4280000004873727, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40412", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "H", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 43181 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9644 + }, + { + "functionName": "h.preparePayload", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 41046 + }, + { + "functionName": "ue", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9609 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5264 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 6278 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11922 + }, + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "n.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11145 + } + ], + "parent": { + "description": "setTimeout", + "callFrames": [ + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11256 + }, + { + "functionName": "sm", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 10650 + }, + { + "functionName": "ph", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11179 + }, + { + "functionName": "Xt", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11330 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11896 + }, + { + "functionName": "T.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5786 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "o.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 31204 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "L.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 16, + "columnNumber": 8455 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11184 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "C.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 21378 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "t.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28794 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 35620 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33851 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "b.track", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33586 + }, + { + "functionName": "O.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 21334 + }, + { + "functionName": "te", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28725 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 26120 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27127 + }, + { + "functionName": "kn", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27160 + }, + { + "functionName": "Oe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25617 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25201 + } + ], + "parent": { + "description": "XMLHttpRequest.send", + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "onSubscribe", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 7712 + }, + { + "functionName": "subscribe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11900 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 16246 + }, + { + "functionName": "ls", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 19620 + }, + { + "functionName": "il", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 24324 + }, + { + "functionName": "Et", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 42430 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 40732 + }, + { + "functionName": "on", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 1650 + }, + { + "functionName": "Mn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 2043 + } + ], + "parentId": { + "id": "374", + "debuggerId": "-5478902268257892944.286849672221299019" + } + } + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://westus2-2.in.applicationinsights.azure.com/v2/track", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "westus2-2.in.applicationinsights.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/v2/track" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "4979" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-site" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 4979, + "postData": { + "mimeType": "application/json", + "text": "[{\"time\":\"2025-12-16T19:55:42.164Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.a96f968cf30948c2.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:02.220\",\"success\":true,\"data\":\"POST /nextgen/api/query?listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"312562a4-c105-4c61-9ed1-b87c4ec70a74\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.a96f968cf30948c2\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-a96f968cf30948c2-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:44 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195542Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fwwk\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"2.162\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:55:44.390Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-7104aa40-1a75-46af-8fe5-fbe5906b27f9.\",\"ver\":2,\"name\":\"listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:02.227\",\"success\":true,\"data\":\"listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:55:44 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195542Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fwwk\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"2.162\\\"}\",\"x-ms-client-request-id\":\"312562a4-c105-4c61-9ed1-b87c4ec70a74\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"66463.30000000075\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}}]" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:55:59 GMT" + }, + { + "name": "server", + "value": "Microsoft-HTTPAPI/2.0" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + } + ], + "cookies": [], + "content": { + "size": 62, + "mimeType": "application/json", + "text": "{\"itemsReceived\":2,\"itemsAccepted\":2,\"appId\":null,\"errors\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 120, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "20.9.155.145", + "startedDateTime": "2025-12-16T19:55:59.397Z", + "time": 93.94800000063697, + "timings": { + "blocked": 3.9600000007260823, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0.661, + "wait": 88.50100000040472, + "receive": 0.8259999995061662, + "_blocked_queueing": 2.1890000007260824, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40365", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "onSubscribe", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 7712 + }, + { + "functionName": "subscribe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11900 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 16246 + }, + { + "functionName": "ls", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 19620 + }, + { + "functionName": "il", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 24324 + }, + { + "functionName": "Et", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 42430 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 40732 + }, + { + "functionName": "on", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 1650 + }, + { + "functionName": "Mn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 2043 + } + ], + "parentId": { + "id": "374", + "debuggerId": "-5478902268257892944.286849672221299019" + } + } + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://ai.azure.com/nextgen/api/query?listNotificationsResolver", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "ai.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/nextgen/api/query?listNotificationsResolver" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "273" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build?version=2" + }, + { + "name": "request-id", + "value": "|521dd65eba4745b2a4c2b08020bd8bd0.454c96e744f349e3" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-origin" + }, + { + "name": "traceparent", + "value": "00-521dd65eba4745b2a4c2b08020bd8bd0-454c96e744f349e3-01" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "x-agents-route-url", + "value": "/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build" + }, + { + "name": "x-azure-debuginfo", + "value": "1" + }, + { + "name": "x-ms-client-request-id", + "value": "9febc66c-5378-46ad-a781-aa1d5e623286" + }, + { + "name": "x-ms-client-user-type", + "value": "Azure AI Foundry" + }, + { + "name": "x-ms-user-agent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + }, + { + "name": "x-ms-useragent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + } + ], + "queryString": [ + { + "name": "listNotificationsResolver", + "value": "" + } + ], + "cookies": [], + "headersSize": -1, + "bodySize": 273, + "postData": { + "mimeType": "application/json", + "text": "{\"query\":\"listNotificationsResolver\",\"params\":{\"resourceId\":\"/subscriptions/921496dc-987f-410f-bd57-426eb2611356/resourceGroups/rg-test-hugging-face-agent/providers/Microsoft.CognitiveServices/accounts/ai-account-x3pxnw7bdbexq/projects/ai-project-test-hugging-face-agent\"}}" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "azureml-served-by-cluster", + "value": "vienna-westus-02" + }, + { + "name": "cache-control", + "value": "no-store, no-cache" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:56:46 GMT" + }, + { + "name": "request-context", + "value": "appId=cid-v1:" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000; includeSubDomains; preload" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "x-azure-originstatuscode", + "value": "200" + }, + { + "name": "x-azure-ref", + "value": "20251216T195644Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g0h4" + }, + { + "name": "x-cache", + "value": "CONFIG_NOCACHE" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + }, + { + "name": "x-request-time", + "value": "1.524" + } + ], + "cookies": [], + "content": { + "size": 12, + "mimeType": "application/json", + "text": "{\"value\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 856, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:56:44.406Z", + "time": 1676.1649999998554, + "timings": { + "blocked": 9.011999999495455, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 2.816, + "wait": 1558.9860000002047, + "receive": 105.35100000015518, + "_blocked_queueing": 3.576999999495456, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40412", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "H", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 43181 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9644 + }, + { + "functionName": "h.preparePayload", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 41046 + }, + { + "functionName": "ue", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9609 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5264 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 6278 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11922 + }, + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "n.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11145 + } + ], + "parent": { + "description": "setTimeout", + "callFrames": [ + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11256 + }, + { + "functionName": "sm", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 10650 + }, + { + "functionName": "ph", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11179 + }, + { + "functionName": "Xt", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11330 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11896 + }, + { + "functionName": "T.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5786 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "o.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 31204 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "L.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 16, + "columnNumber": 8455 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11184 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "C.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 21378 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "t.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28794 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 35620 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33851 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "b.track", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33586 + }, + { + "functionName": "O.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 21334 + }, + { + "functionName": "te", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28725 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28287 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27127 + }, + { + "functionName": "kn", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27160 + }, + { + "functionName": "X", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28100 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 22768 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "rsp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 22743 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 47904 + }, + { + "functionName": "rv", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 47748 + }, + { + "functionName": "bf", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 47799 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48337 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 10600 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "mutationFn", + "scriptId": "2145", + "url": "https://ai.azure.com/assets/useMutation-WPrs2mdW.js", + "lineNumber": 0, + "columnNumber": 7028 + }, + { + "functionName": "fn", + "scriptId": "2145", + "url": "https://ai.azure.com/assets/useMutation-WPrs2mdW.js", + "lineNumber": 0, + "columnNumber": 1650 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "execute", + "scriptId": "2145", + "url": "https://ai.azure.com/assets/useMutation-WPrs2mdW.js", + "lineNumber": 0, + "columnNumber": 2436 + } + ], + "parent": { + "description": "await", + "callFrames": [ + { + "functionName": "mutate", + "scriptId": "2145", + "url": "https://ai.azure.com/assets/useMutation-WPrs2mdW.js", + "lineNumber": 0, + "columnNumber": 5541 + }, + { + "functionName": "", + "scriptId": "2357", + "url": "https://ai.azure.com/assets/useAgentChatCompletions-DHULpNLs.js", + "lineNumber": 5, + "columnNumber": 881 + } + ], + "parent": { + "description": "await", + "callFrames": [ + { + "functionName": "v.useCallback.Te", + "scriptId": "2357", + "url": "https://ai.azure.com/assets/useAgentChatCompletions-DHULpNLs.js", + "lineNumber": 5, + "columnNumber": 1498 + }, + { + "functionName": "we", + "scriptId": "2485", + "url": "https://ai.azure.com/assets/ChatInput-BT80ERFi.js", + "lineNumber": 1, + "columnNumber": 9896 + }, + { + "functionName": "Pe", + "scriptId": "2485", + "url": "https://ai.azure.com/assets/ChatInput-BT80ERFi.js", + "lineNumber": 1, + "columnNumber": 12832 + }, + { + "functionName": "", + "scriptId": "2489", + "url": "https://ai.azure.com/assets/ChatInput-Vpy8ZB70.js", + "lineNumber": 49, + "columnNumber": 8879 + }, + { + "functionName": "", + "scriptId": "2187", + "url": "https://ai.azure.com/assets/mergeCallbacks-B6DPBfGY.js", + "lineNumber": 0, + "columnNumber": 553 + }, + { + "functionName": "", + "scriptId": "2169", + "url": "https://ai.azure.com/assets/Button-DdEDu6fe.js", + "lineNumber": 0, + "columnNumber": 694 + }, + { + "functionName": "", + "scriptId": "2169", + "url": "https://ai.azure.com/assets/Button-DdEDu6fe.js", + "lineNumber": 0, + "columnNumber": 934 + }, + { + "functionName": "", + "scriptId": "2169", + "url": "https://ai.azure.com/assets/Button-DdEDu6fe.js", + "lineNumber": 0, + "columnNumber": 694 + }, + { + "functionName": "Ea", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 9848 + }, + { + "functionName": "xa", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 10002 + }, + { + "functionName": "_a", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 10059 + }, + { + "functionName": "Lo", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 31438 + }, + { + "functionName": "Mo", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 31855 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 36776 + }, + { + "functionName": "ii", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 36992 + }, + { + "functionName": "Ii", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 8984 + }, + { + "functionName": "iu", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 33147 + }, + { + "functionName": "Hl", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 17365 + }, + { + "functionName": "Ba", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 20, + "columnNumber": 17153 + } + ] + } + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://westus2-2.in.applicationinsights.azure.com/v2/track", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "westus2-2.in.applicationinsights.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/v2/track" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "9878" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-site" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 9878, + "postData": { + "mimeType": "application/json", + "text": "[{\"time\":\"2025-12-16T19:55:32.055Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.c277d4beecc04390.\",\"ver\":2,\"name\":\"POST https://ai.azure.com/nextgen/api/agentchatcompletions\",\"resultCode\":\"504\",\"duration\":\"00:01:00.050\",\"success\":false,\"data\":\"POST https://ai.azure.com/nextgen/api/agentchatcompletions\",\"target\":\"ai.azure.com\",\"type\":\"Fetch\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"accept\\\":\\\"text/event-stream\\\",\\\"content-type\\\":\\\"application/json\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"x-ms-client-request-id\\\":\\\"22ed09ad-4ed5-4c83-898a-0dbe58dfeec7\\\",\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.c277d4beecc04390\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-c277d4beecc04390-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"content-length\\\":\\\"562\\\",\\\"content-type\\\":\\\"text/html\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:56:32 GMT\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"x-azure-originstatuscode\\\":\\\"504\\\",\\\"x-azure-ref\\\":\\\"20251216T195532Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fw72\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"60.001\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:56:32.112Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-cfcb1a84-ebf5-4def-8346-04dec0ec2443.\",\"ver\":2,\"name\":\"agentChatCompletionsResolver\",\"resultCode\":\"504\",\"duration\":\"00:01:00.058\",\"success\":false,\"data\":\"agentChatCompletionsResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"content-length\\\":\\\"562\\\",\\\"content-type\\\":\\\"text/html\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:56:32 GMT\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"x-azure-originstatuscode\\\":\\\"504\\\",\\\"x-azure-ref\\\":\\\"20251216T195532Z-1776d656d45d4qrshC1BY1xm3000000004d000000000fw72\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"60.001\\\"}\",\"errorMessage\":\"Service unavailable\",\"errorCode\":\"Unknown\",\"x-ms-client-request-id\":\"22ed09ad-4ed5-4c83-898a-0dbe58dfeec7\",\"parentRequestId\":\"f37cf081-cc94-4e69-a16b-b3d3941f2141\",\"nthCall\":\"1\",\"operation\":\"agentChatCompletionsResolver\",\"startTime\":\"56352.700000000186\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:56:44.404Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.454c96e744f349e3.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:01.689\",\"success\":true,\"data\":\"POST /nextgen/api/query?listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"9febc66c-5378-46ad-a781-aa1d5e623286\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.454c96e744f349e3\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-454c96e744f349e3-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:56:46 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195644Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g0h4\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"1.524\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:56:46.099Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-0ee214d1-8684-4337-b1d4-9dcf97e96acf.\",\"ver\":2,\"name\":\"listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:01.699\",\"success\":true,\"data\":\"listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:56:46 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195644Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g0h4\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"1.524\\\"}\",\"x-ms-client-request-id\":\"9febc66c-5378-46ad-a781-aa1d5e623286\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"128700.5\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}}]" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:56:47 GMT" + }, + { + "name": "server", + "value": "Microsoft-HTTPAPI/2.0" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + } + ], + "cookies": [], + "content": { + "size": 62, + "mimeType": "application/json", + "text": "{\"itemsReceived\":4,\"itemsAccepted\":4,\"appId\":null,\"errors\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 120, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "20.9.155.145", + "startedDateTime": "2025-12-16T19:56:47.113Z", + "time": 130.64699999995355, + "timings": { + "blocked": 4.156999999932072, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 0.4249999999999998, + "wait": 122.36600000015193, + "receive": 3.6989999998695566, + "_blocked_queueing": 1.7379999999320717, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40365", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "onSubscribe", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 7712 + }, + { + "functionName": "subscribe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11900 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 16246 + }, + { + "functionName": "ls", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 19620 + }, + { + "functionName": "il", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 24324 + }, + { + "functionName": "Et", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 42430 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 40732 + }, + { + "functionName": "on", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 1650 + }, + { + "functionName": "Mn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 2043 + } + ], + "parentId": { + "id": "374", + "debuggerId": "-5478902268257892944.286849672221299019" + } + } + } + } + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://ai.azure.com/nextgen/api/query?listNotificationsResolver", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "ai.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/nextgen/api/query?listNotificationsResolver" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "273" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build?version=2" + }, + { + "name": "request-id", + "value": "|521dd65eba4745b2a4c2b08020bd8bd0.bace0a3b0a9d4be4" + }, + { + "name": "sec-ch-prefers-color-scheme", + "value": "light" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-origin" + }, + { + "name": "traceparent", + "value": "00-521dd65eba4745b2a4c2b08020bd8bd0-bace0a3b0a9d4be4-01" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + }, + { + "name": "x-agents-route-url", + "value": "/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build" + }, + { + "name": "x-azure-debuginfo", + "value": "1" + }, + { + "name": "x-ms-client-request-id", + "value": "96cba339-507a-4bb0-8e5e-d73dc65fcece" + }, + { + "name": "x-ms-client-user-type", + "value": "Azure AI Foundry" + }, + { + "name": "x-ms-user-agent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + }, + { + "name": "x-ms-useragent", + "value": "AzureMachineLearningWorkspacePortal/AIFoundry" + } + ], + "queryString": [ + { + "name": "listNotificationsResolver", + "value": "" + } + ], + "cookies": [], + "headersSize": -1, + "bodySize": 273, + "postData": { + "mimeType": "application/json", + "text": "{\"query\":\"listNotificationsResolver\",\"params\":{\"resourceId\":\"/subscriptions/921496dc-987f-410f-bd57-426eb2611356/resourceGroups/rg-test-hugging-face-agent/providers/Microsoft.CognitiveServices/accounts/ai-account-x3pxnw7bdbexq/projects/ai-project-test-hugging-face-agent\"}}" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "azureml-served-by-cluster", + "value": "vienna-westus-02" + }, + { + "name": "cache-control", + "value": "no-store, no-cache" + }, + { + "name": "content-encoding", + "value": "br" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:57:48 GMT" + }, + { + "name": "request-context", + "value": "appId=cid-v1:" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000; includeSubDomains; preload" + }, + { + "name": "vary", + "value": "Accept-Encoding" + }, + { + "name": "x-azure-originstatuscode", + "value": "200" + }, + { + "name": "x-azure-ref", + "value": "20251216T195746Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g56e" + }, + { + "name": "x-cache", + "value": "CONFIG_NOCACHE" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + }, + { + "name": "x-request-time", + "value": "2.098" + } + ], + "cookies": [], + "content": { + "size": 12, + "mimeType": "application/json", + "text": "{\"value\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 856, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "13.107.213.66", + "startedDateTime": "2025-12-16T19:57:46.157Z", + "time": 2151.0379999999714, + "timings": { + "blocked": 14.063999999591907, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 2.2299999999999986, + "wait": 2128.2779999996515, + "receive": 6.466000000727945, + "_blocked_queueing": 3.853999999591906, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + }, + { + "_connectionId": "40412", + "_initiator": { + "type": "script", + "stack": { + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "H", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 43181 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9644 + }, + { + "functionName": "h.preparePayload", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 41046 + }, + { + "functionName": "ue", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 9609 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5264 + }, + { + "functionName": "T.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 6278 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11922 + }, + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "n.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11145 + } + ], + "parent": { + "description": "setTimeout", + "callFrames": [ + { + "functionName": "fa", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 7018 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11256 + }, + { + "functionName": "sm", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 10650 + }, + { + "functionName": "ph", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11179 + }, + { + "functionName": "Xt", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 0, + "columnNumber": 11330 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 11896 + }, + { + "functionName": "T.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 5786 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "o.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 31204 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "L.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 16, + "columnNumber": 8455 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11184 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "C.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 21378 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "t.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28794 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "t.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 30228 + }, + { + "functionName": "u.processTelemetry", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 35620 + }, + { + "functionName": "p", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28414 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28158 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "c", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28065 + }, + { + "functionName": "f", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 28424 + }, + { + "functionName": "s", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 26863 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33851 + }, + { + "functionName": "Mu", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 23252 + }, + { + "functionName": "b.track", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 33586 + }, + { + "functionName": "O.", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 21334 + }, + { + "functionName": "te", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 28725 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 26120 + }, + { + "functionName": "ke", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27127 + }, + { + "functionName": "kn", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 27160 + }, + { + "functionName": "Oe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25617 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 25201 + } + ], + "parent": { + "description": "XMLHttpRequest.send", + "callFrames": [ + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 6, + "columnNumber": 48285 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 7232 + }, + { + "functionName": "xhr", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 12, + "columnNumber": 5221 + }, + { + "functionName": "Qp", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 536 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "_request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 15, + "columnNumber": 1315 + }, + { + "functionName": "request", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 14, + "columnNumber": 2008 + }, + { + "functionName": "", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18517 + }, + { + "functionName": "UD", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 18, + "columnNumber": 52882 + }, + { + "functionName": "queryFn", + "scriptId": "2263", + "url": "https://ai.azure.com/assets/useQuery-D-BOR0LH.js", + "lineNumber": 0, + "columnNumber": 418 + }, + { + "functionName": "c", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 3952 + }, + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17554 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13748 + } + ], + "parent": { + "description": "setInterval", + "callFrames": [ + { + "functionName": "dt", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13659 + }, + { + "functionName": "ft", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13824 + }, + { + "functionName": "onQueryUpdate", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 12780 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6369 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6355 + }, + { + "functionName": "batch", + "scriptId": "2222", + "url": "https://ai.azure.com/assets/notifyManager-CiomSESo.js", + "lineNumber": 0, + "columnNumber": 213 + }, + { + "functionName": "x", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 6329 + }, + { + "functionName": "setData", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 1548 + }, + { + "functionName": "onSuccess", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 4782 + }, + { + "functionName": "l", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17214 + } + ], + "parent": { + "description": "Promise.then", + "callFrames": [ + { + "functionName": "d", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 17607 + }, + { + "functionName": "start", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 18013 + }, + { + "functionName": "fetch", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 5281 + }, + { + "functionName": "$", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 13071 + }, + { + "functionName": "onSubscribe", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 7712 + }, + { + "functionName": "subscribe", + "scriptId": "2144", + "url": "https://ai.azure.com/assets/useHandleLoginRequired-Dvf8Y1EC.js", + "lineNumber": 10, + "columnNumber": 11900 + }, + { + "functionName": "", + "scriptId": "2229", + "url": "https://ai.azure.com/assets/getQueryKey-DBgKtAk1.js", + "lineNumber": 0, + "columnNumber": 16246 + }, + { + "functionName": "ls", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 21, + "columnNumber": 19620 + }, + { + "functionName": "il", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 24324 + }, + { + "functionName": "Et", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 42430 + }, + { + "functionName": "", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 23, + "columnNumber": 40732 + }, + { + "functionName": "on", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 1650 + }, + { + "functionName": "Mn", + "scriptId": "2141", + "url": "https://ai.azure.com/assets/index-n5kaHP-D.js", + "lineNumber": 8, + "columnNumber": 2043 + } + ], + "parentId": { + "id": "374", + "debuggerId": "-5478902268257892944.286849672221299019" + } + } + } + } + } + } + } + } + } + } + } + }, + "_priority": "High", + "_resourceType": "xhr", + "cache": {}, + "connection": "443", + "pageref": "page_1", + "request": { + "method": "POST", + "url": "https://westus2-2.in.applicationinsights.azure.com/v2/track", + "httpVersion": "http/2.0", + "headers": [ + { + "name": ":authority", + "value": "westus2-2.in.applicationinsights.azure.com" + }, + { + "name": ":method", + "value": "POST" + }, + { + "name": ":path", + "value": "/v2/track" + }, + { + "name": ":scheme", + "value": "https" + }, + { + "name": "accept", + "value": "*/*" + }, + { + "name": "accept-encoding", + "value": "gzip, deflate, br, zstd" + }, + { + "name": "accept-language", + "value": "en-US,en;q=0.9,zh-CN;q=0.8,zh;q=0.7,en-CA;q=0.6" + }, + { + "name": "content-length", + "value": "4980" + }, + { + "name": "content-type", + "value": "application/json" + }, + { + "name": "origin", + "value": "https://ai.azure.com" + }, + { + "name": "priority", + "value": "u=1, i" + }, + { + "name": "referer", + "value": "https://ai.azure.com/" + }, + { + "name": "sec-ch-ua", + "value": "\"Microsoft Edge\";v=\"143\", \"Chromium\";v=\"143\", \"Not A(Brand\";v=\"24\"" + }, + { + "name": "sec-ch-ua-mobile", + "value": "?0" + }, + { + "name": "sec-ch-ua-platform", + "value": "\"Windows\"" + }, + { + "name": "sec-fetch-dest", + "value": "empty" + }, + { + "name": "sec-fetch-mode", + "value": "cors" + }, + { + "name": "sec-fetch-site", + "value": "same-site" + }, + { + "name": "user-agent", + "value": "Mozilla/5.0 (Windows NT 10.0; Win64; x64) AppleWebKit/537.36 (KHTML, like Gecko) Chrome/143.0.0.0 Safari/537.36 Edg/143.0.0.0" + } + ], + "queryString": [], + "cookies": [], + "headersSize": -1, + "bodySize": 4980, + "postData": { + "mimeType": "application/json", + "text": "[{\"time\":\"2025-12-16T19:57:46.155Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"|521dd65eba4745b2a4c2b08020bd8bd0.bace0a3b0a9d4be4.\",\"ver\":2,\"name\":\"POST /nextgen/api/query?listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:02.160\",\"success\":true,\"data\":\"POST /nextgen/api/query?listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"Ajax\",\"properties\":{\"HttpMethod\":\"POST\",\"requestHeaders\":\"{\\\"x-ms-client-user-type\\\":\\\"Azure AI Foundry\\\",\\\"x-ms-user-agent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-ms-useragent\\\":\\\"AzureMachineLearningWorkspacePortal/AIFoundry\\\",\\\"x-agents-route-url\\\":\\\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\\\",\\\"x-ms-client-request-id\\\":\\\"96cba339-507a-4bb0-8e5e-d73dc65fcece\\\",\\\"x-azure-debuginfo\\\":\\\"1\\\",\\\"Content-Type\\\":\\\"application/json\\\",\\\"Request-Id\\\":\\\"|521dd65eba4745b2a4c2b08020bd8bd0.bace0a3b0a9d4be4\\\",\\\"traceparent\\\":\\\"00-521dd65eba4745b2a4c2b08020bd8bd0-bace0a3b0a9d4be4-01\\\"}\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:57:48 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195746Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g56e\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"2.098\\\"}\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}},{\"time\":\"2025-12-16T19:57:48.321Z\",\"iKey\":\"5e03b637-b7ee-42c3-b241-386e21c5435a\",\"name\":\"Microsoft.ApplicationInsights.5e03b637b7ee42c3b241386e21c5435a.RemoteDependency\",\"tags\":{\"ai.user.authUserId\":\"e6dc68ea-9080-4aad-b653-ade4dffc49b3\",\"ai.user.id\":\"BRQaQ\",\"ai.session.id\":\"ipt5Dmpl5GxKeajQq5qs7y\",\"ai.device.id\":\"browser\",\"ai.device.type\":\"Browser\",\"ai.operation.name\":\"/nextgen/r/khSW3Jh_QQ-9V0JusmETVg,rg-test-hugging-face-agent,,ai-account-x3pxnw7bdbexq,ai-project-test-hugging-face-agent/build/agents/HuggingFace-Agent/build\",\"ai.operation.id\":\"521dd65eba4745b2a4c2b08020bd8bd0\",\"ai.internal.sdkVersion\":\"javascript:3.3.9\"},\"data\":{\"baseType\":\"RemoteDependencyData\",\"baseData\":{\"id\":\"Client-a25d3a21-fd86-44b8-998c-5af0890f24a4.\",\"ver\":2,\"name\":\"listNotificationsResolver\",\"resultCode\":\"200\",\"duration\":\"00:00:02.210\",\"success\":true,\"data\":\"listNotificationsResolver\",\"target\":\"ai.azure.com\",\"type\":\"POST\",\"properties\":{\"batchArmIntercepted\":\"false\",\"responseHeaders\":\"{\\\"azureml-served-by-cluster\\\":\\\"vienna-westus-02\\\",\\\"cache-control\\\":\\\"no-store, no-cache\\\",\\\"content-encoding\\\":\\\"br\\\",\\\"content-type\\\":\\\"application/json; charset=utf-8\\\",\\\"date\\\":\\\"Tue, 16 Dec 2025 19:57:48 GMT\\\",\\\"request-context\\\":\\\"appId=cid-v1:\\\",\\\"strict-transport-security\\\":\\\"max-age=31536000; includeSubDomains; preload\\\",\\\"vary\\\":\\\"Accept-Encoding\\\",\\\"x-azure-originstatuscode\\\":\\\"200\\\",\\\"x-azure-ref\\\":\\\"20251216T195746Z-1776d656d45d4qrshC1BY1xm3000000004d000000000g56e\\\",\\\"x-cache\\\":\\\"CONFIG_NOCACHE\\\",\\\"x-content-type-options\\\":\\\"nosniff\\\",\\\"x-request-time\\\":\\\"2.098\\\"}\",\"x-ms-client-request-id\":\"96cba339-507a-4bb0-8e5e-d73dc65fcece\",\"parentRequestId\":\"undefined\",\"nthCall\":\"1\",\"startTime\":\"190410.30000000075\",\"retried\":\"false\",\"layout\":\"ai-foundry\",\"route\":\"Build:Agents:Build\",\"project\":\"ai-project-test-hugging-face-agent\",\"aiResource\":\"ai-account-x3pxnw7bdbexq\",\"subscriptionId\":\"921496dc-987f-410f-bd57-426eb2611356\",\"resourceGroup\":\"rg-test-hugging-face-agent\",\"tenantId\":\"72f988bf-86f1-41af-91ab-2d7cd011db47\",\"environment\":\"production\",\"region\":\"westus\",\"buildNumber\":\"AiFoundry-2025-Dec-09-2025-12-15.3\",\"routeOwnerTeam\":\"data\",\"isMicrosoftEmployee\":\"true\",\"aiServiceName\":\"undefined\"},\"measurements\":{}}}}]" + } + }, + "response": { + "status": 200, + "statusText": "OK", + "httpVersion": "http/2.0", + "headers": [ + { + "name": "access-control-allow-origin", + "value": "*" + }, + { + "name": "content-type", + "value": "application/json; charset=utf-8" + }, + { + "name": "date", + "value": "Tue, 16 Dec 2025 19:58:03 GMT" + }, + { + "name": "server", + "value": "Microsoft-HTTPAPI/2.0" + }, + { + "name": "strict-transport-security", + "value": "max-age=31536000" + }, + { + "name": "x-content-type-options", + "value": "nosniff" + } + ], + "cookies": [], + "content": { + "size": 62, + "mimeType": "application/json", + "text": "{\"itemsReceived\":2,\"itemsAccepted\":2,\"appId\":null,\"errors\":[]}" + }, + "redirectURL": "", + "headersSize": -1, + "bodySize": -1, + "_transferSize": 120, + "_error": null, + "_fetchedViaServiceWorker": false + }, + "serverIPAddress": "20.9.155.145", + "startedDateTime": "2025-12-16T19:58:03.324Z", + "time": 181.3140000003841, + "timings": { + "blocked": 7.868000000022643, + "dns": -1, + "ssl": -1, + "connect": -1, + "send": 1.5659999999999998, + "wait": 170.05399999974208, + "receive": 1.8260000006193877, + "_blocked_queueing": 4.629000000022643, + "_workerStart": -1, + "_workerReady": -1, + "_workerFetchStart": -1, + "_workerRespondWithSettled": -1 + } + } + ] + } +} \ No newline at end of file diff --git a/hugging-face-tool-agent/Dockerfile b/hugging-face-tool-agent/Dockerfile new file mode 100644 index 000000000000..33027fa605ae --- /dev/null +++ b/hugging-face-tool-agent/Dockerfile @@ -0,0 +1,16 @@ +FROM python:3.11-slim + +WORKDIR /app + +COPY . user_agent/ +WORKDIR /app/user_agent + +RUN if [ -f requirements.txt ]; then \ + pip install -r requirements.txt; \ + else \ + echo "No requirements.txt found"; \ + fi + +EXPOSE 8088 + +CMD ["python", "main.py"] diff --git a/hugging-face-tool-agent/agent.yaml b/hugging-face-tool-agent/agent.yaml new file mode 100644 index 000000000000..decac1de557f --- /dev/null +++ b/hugging-face-tool-agent/agent.yaml @@ -0,0 +1,27 @@ +name: HuggingFace-Agent +description: Hugging Face agent +metadata: + example: + - role: user + content: |- + What are the trending models in the OpenLLM Leaderboard? + tags: + - Microsoft Agent Framework +template: + name: HuggingFace-Agent + kind: hosted + environment_variables: + - name: AZURE_AI_PROJECT_ENDPOINT + value: ${AZURE_AI_PROJECT_ENDPOINT} + - name: AZURE_OPENAI_ENDPOINT + value: ${AZURE_OPENAI_ENDPOINT} + - name: OPENAI_API_VERSION + value: 2025-03-01-preview + - name: AZURE_OPENAI_CHAT_DEPLOYMENT_NAME + value: "{{chat}}" + - name: AZURE_AI_PROJECT_TOOL_CONNECTION_ID + value: "HuggingFaceMCPServer" +resources: + - kind: model + id: gpt-5 + name: chat diff --git a/hugging-face-tool-agent/azure_ai_agentserver_agentframework-1.0.0b7-py3-none-any.whl b/hugging-face-tool-agent/azure_ai_agentserver_agentframework-1.0.0b7-py3-none-any.whl new file mode 100644 index 000000000000..aa8c1431e788 Binary files /dev/null and b/hugging-face-tool-agent/azure_ai_agentserver_agentframework-1.0.0b7-py3-none-any.whl differ diff --git a/hugging-face-tool-agent/azure_ai_agentserver_core-1.0.0b7-py3-none-any.whl b/hugging-face-tool-agent/azure_ai_agentserver_core-1.0.0b7-py3-none-any.whl new file mode 100644 index 000000000000..4d2ca203c935 Binary files /dev/null and b/hugging-face-tool-agent/azure_ai_agentserver_core-1.0.0b7-py3-none-any.whl differ diff --git a/hugging-face-tool-agent/main.py b/hugging-face-tool-agent/main.py new file mode 100644 index 000000000000..d5306cab37bf --- /dev/null +++ b/hugging-face-tool-agent/main.py @@ -0,0 +1,115 @@ +# Copyright (c) Microsoft. All rights reserved. +"""Example showing how to use an agent factory function with ToolClient. + +This sample demonstrates how to pass a factory function to from_agent_framework +that receives a ToolClient and returns an AgentProtocol. This pattern allows +the agent to be created dynamically with access to tools from Azure AI Tool +Client at runtime. +""" + +import asyncio +import os +from typing import List +from dotenv import load_dotenv +from agent_framework import AIFunction +from agent_framework.azure import AzureOpenAIChatClient + +from azure.ai.agentserver.agentframework import from_agent_framework +from azure.identity.aio import DefaultAzureCredential, get_bearer_token_provider + +load_dotenv() + + +def create_agent_factory(): + """Create a factory function that builds an agent with ToolClient. + + This function returns a factory that takes a ToolClient and returns + an AgentProtocol. The agent is created at runtime for every request, + allowing it to access the latest tool configuration dynamically. + """ + + async def agent_factory(tools: List[AIFunction]) -> AzureOpenAIChatClient: + """Factory function that creates an agent using the provided tools. + + :param tools: The list of AIFunction tools available to the agent. + :type tools: List[AIFunction] + :return: An Agent Framework ChatAgent instance. + :rtype: ChatAgent + """ + # List all available tools from the ToolClient + print("Fetching tools from Azure AI Tool Client via factory...") + print(f"Found {len(tools)} tools:") + for tool in tools: + print(f" - tool: {tool.name}, description: {tool.description}") + + if not tools: + print("\nNo tools found!") + print("Make sure your Azure AI project has tools configured.") + raise ValueError("No tools available to create agent") + + azure_credential = DefaultAzureCredential() + token_provider = get_bearer_token_provider(azure_credential, "https://cognitiveservices.azure.com/.default") + # Create the Agent Framework agent with the tools + print("\nCreating Agent Framework agent with tools from factory...") + agent = AzureOpenAIChatClient(ad_token_provider=token_provider).create_agent( + name="ToolClientAgent", + instructions="You are a helpful assistant with access to various tools.", + tools=tools, + ) + + print("Agent created successfully!") + return agent + + return agent_factory + + +async def quickstart(): + """Build and return an AgentFrameworkCBAgent using an agent factory function.""" + + # Get configuration from environment + project_endpoint = os.getenv("AZURE_AI_PROJECT_ENDPOINT") + + if not project_endpoint: + raise ValueError( + "AZURE_AI_PROJECT_ENDPOINT environment variable is required. " + "Set it to your Azure AI project endpoint, e.g., " + "https://.services.ai.azure.com/api/projects/" + ) + + # Create Azure credentials + credential = DefaultAzureCredential() + + # Create a factory function that will build the agent at runtime + # The factory will receive a ToolClient when the agent first runs + agent_factory = create_agent_factory() + + tool_connection_id = os.getenv("AZURE_AI_PROJECT_TOOL_CONNECTION_ID") + # Pass the factory function to from_agent_framework instead of a compiled agent + # The agent will be created on every agent run with access to ToolClient + print("Creating Agent Framework adapter with factory function...") + adapter = from_agent_framework( + agent_factory, + credentials=credential, + tools=[ + {"type": "mcp", "project_connection_id": tool_connection_id} + + ] + ) + + print("Adapter created! Agent will be built on every request.") + return adapter + + +async def main(): # pragma: no cover - sample entrypoint + """Main function to run the agent.""" + adapter = await quickstart() + + if adapter: + print("\nStarting agent server...") + print("The agent factory will be called for every request that arrives.") + await adapter.run_async() + + +if __name__ == "__main__": + asyncio.run(main()) + diff --git a/hugging-face-tool-agent/requirements.txt b/hugging-face-tool-agent/requirements.txt new file mode 100644 index 000000000000..248e51a2c42a --- /dev/null +++ b/hugging-face-tool-agent/requirements.txt @@ -0,0 +1,2 @@ +pytest==8.4.2 +python-dotenv==1.1.1 diff --git a/log.txt b/log.txt new file mode 100644 index 000000000000..24ceda35bd9b --- /dev/null +++ b/log.txt @@ -0,0 +1,1720 @@ +event: response.created +data: {"sequence_number": 0, "response": {"object": "response", "agent_id": null, "id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC", "status": "in_progress", "created_at": 1765914648, "conversation": {"id": "conv_dLgBdwauJpWR46WEW9y85J9Sh7KkwIQPXvhCLLm4QEErEFRbXl"}}, "type": "response.created"} + +event: response.in_progress +data: {"sequence_number": 1, "response": {"object": "response", "agent_id": null, "id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC", "status": "in_progress", "created_at": 1765914648, "conversation": {"id": "conv_dLgBdwauJpWR46WEW9y85J9Sh7KkwIQPXvhCLLm4QEErEFRbXl"}}, "type": "response.in_progress"} + +: keep-alive + +event: response.output_item.added +data: {"sequence_number": 2, "output_index": 0, "item": {"id": "func_dLgBdwauJpWR46WEW9BgSgW2j0Ud7wlm0rS4DqEQqO8uWtlwFO", "status": "in_progress", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "name": "model_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.output_item.added +data: {"sequence_number": 3, "output_index": 1, "item": {"id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "status": "in_progress", "call_id": "", "name": "", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 4, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "sk\": ", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 5, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "\"text-", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 6, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "gene", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 7, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "ratio", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 8, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "n\", \"s", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 9, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "ort\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 10, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": ": \"tr", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 11, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "ending", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 12, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "Scor", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 13, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "e\", \"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 14, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "limit\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 15, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": ": 10", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 16, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "}", "type": "response.function_call_arguments.delta"} + +event: response.output_item.added +data: {"sequence_number": 17, "output_index": 2, "item": {"id": "func_dLgBdwauJpWR46WEW9sHCDggWV8f48mJrzizILSgD0WAFHsk4H", "status": "in_progress", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 18, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "{\"qu", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 19, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "ery\":", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 20, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": " \"Open", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 21, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": " LLM", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 22, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": " Lead", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 23, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "erboar", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 24, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "delta": "d\"}", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.done +data: {"sequence_number": 25, "item_id": "func_dLgBdwauJpWR46WEW9BgSgW2j0Ud7wlm0rS4DqEQqO8uWtlwFO", "output_index": 0, "arguments": "", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 26, "output_index": 0, "item": {"id": "func_dLgBdwauJpWR46WEW9BgSgW2j0Ud7wlm0rS4DqEQqO8uWtlwFO", "status": "completed", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "name": "model_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.function_call_arguments.done +data: {"sequence_number": 27, "item_id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "output_index": 1, "arguments": "{\"task\": \"text-generation\", \"sort\": \"trendingScore\", \"limit\": 10}{\"query\": \"Open LLM Leaderboard\"}", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 28, "output_index": 1, "item": {"id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "status": "completed", "call_id": "", "name": "", "arguments": "{\"task\": \"text-generation\", \"sort\": \"trendingScore\", \"limit\": 10}{\"query\": \"Open LLM Leaderboard\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.function_call_arguments.done +data: {"sequence_number": 29, "item_id": "func_dLgBdwauJpWR46WEW9sHCDggWV8f48mJrzizILSgD0WAFHsk4H", "output_index": 2, "arguments": "", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 30, "output_index": 2, "item": {"id": "func_dLgBdwauJpWR46WEW9sHCDggWV8f48mJrzizILSgD0WAFHsk4H", "status": "completed", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 31, "output_index": 3, "item": {"id": "funcout_dLgBdwauJpWR46WEW9hCiUyt0RiIS8e4223VewikyK1b2sxCBS", "status": "completed", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.added"} + +event: response.output_item.done +data: {"sequence_number": 32, "output_index": 3, "item": {"id": "funcout_dLgBdwauJpWR46WEW9hCiUyt0RiIS8e4223VewikyK1b2sxCBS", "status": "completed", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 33, "output_index": 4, "item": {"id": "funcout_dLgBdwauJpWR46WEW9kyxY03wUAdlIRWh3zp28u8fmWxJcrQOT", "status": "completed", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.added"} + +event: response.output_item.done +data: {"sequence_number": 34, "output_index": 4, "item": {"id": "funcout_dLgBdwauJpWR46WEW9kyxY03wUAdlIRWh3zp28u8fmWxJcrQOT", "status": "completed", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 35, "output_index": 5, "item": {"id": "func_dLgBdwauJpWR46WEW9oBbRRIpYqyMQwKrTqPNwfQRSI2cLobHl", "status": "in_progress", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.output_item.added +data: {"sequence_number": 36, "output_index": 6, "item": {"id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "status": "in_progress", "call_id": "", "name": "", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 37, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "query", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 38, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "\":\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 39, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "H", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 40, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "ug", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 41, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "ging", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 42, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "Face", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 43, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "H", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 44, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "4", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 45, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": " open", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 46, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "_ll", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 47, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "m", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 48, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "_le", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 49, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "ader", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 50, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "board", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 51, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "delta": "\"}", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.done +data: {"sequence_number": 52, "item_id": "func_dLgBdwauJpWR46WEW9oBbRRIpYqyMQwKrTqPNwfQRSI2cLobHl", "output_index": 5, "arguments": "", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 53, "output_index": 5, "item": {"id": "func_dLgBdwauJpWR46WEW9oBbRRIpYqyMQwKrTqPNwfQRSI2cLobHl", "status": "completed", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.function_call_arguments.done +data: {"sequence_number": 54, "item_id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "output_index": 6, "arguments": "{\"query\":\"HuggingFaceH4 open_llm_leaderboard\"}", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 55, "output_index": 6, "item": {"id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"HuggingFaceH4 open_llm_leaderboard\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 56, "output_index": 7, "item": {"id": "funcout_dLgBdwauJpWR46WEW9nFdPooviiG5tT3CnPJDbxj0KFW6QutXo", "status": "completed", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.added"} + +event: response.output_item.done +data: {"sequence_number": 57, "output_index": 7, "item": {"id": "funcout_dLgBdwauJpWR46WEW9nFdPooviiG5tT3CnPJDbxj0KFW6QutXo", "status": "completed", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 58, "output_index": 8, "item": {"id": "func_dLgBdwauJpWR46WEW9b3offhVdlMexIJstmlbqi9ZsNFqtf3lx", "status": "in_progress", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.output_item.added +data: {"sequence_number": 59, "output_index": 9, "item": {"id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "status": "in_progress", "call_id": "", "name": "", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 60, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "query", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 61, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "\":\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 62, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "open", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 63, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " ll", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 64, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "m", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 65, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " leaderboard", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 66, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " trending", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 67, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "\",", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 68, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " \"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 69, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "limit", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 70, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "\":", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 71, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " ", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 72, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "10", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 73, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": ",", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 74, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " \"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 75, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "sort", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 76, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "\":", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 77, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": " \"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 78, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "downloads", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 79, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "delta": "\"}", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.done +data: {"sequence_number": 80, "item_id": "func_dLgBdwauJpWR46WEW9b3offhVdlMexIJstmlbqi9ZsNFqtf3lx", "output_index": 8, "arguments": "", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 81, "output_index": 8, "item": {"id": "func_dLgBdwauJpWR46WEW9b3offhVdlMexIJstmlbqi9ZsNFqtf3lx", "status": "completed", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.function_call_arguments.done +data: {"sequence_number": 82, "item_id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "output_index": 9, "arguments": "{\"query\":\"open llm leaderboard trending\", \"limit\": 10, \"sort\": \"downloads\"}", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 83, "output_index": 9, "item": {"id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"open llm leaderboard trending\", \"limit\": 10, \"sort\": \"downloads\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 84, "output_index": 10, "item": {"id": "funcout_dLgBdwauJpWR46WEW9rhyvpOr1Y7rgDHl35nxLmIXQrtw3XE00", "status": "completed", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.added"} + +event: response.output_item.done +data: {"sequence_number": 85, "output_index": 10, "item": {"id": "funcout_dLgBdwauJpWR46WEW9rhyvpOr1Y7rgDHl35nxLmIXQrtw3XE00", "status": "completed", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 86, "output_index": 11, "item": {"id": "func_dLgBdwauJpWR46WEW9aTwnPZHXB74CLGW5fuInnNcqryTQHeo9", "status": "in_progress", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.output_item.added +data: {"sequence_number": 87, "output_index": 12, "item": {"id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "status": "in_progress", "call_id": "", "name": "", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.added"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 88, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "query", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 89, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "\":\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 90, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "open", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 91, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "-", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 92, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "ll", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 93, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "m", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 94, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "-le", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 95, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "ader", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 96, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "board", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 97, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "\",\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 98, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "limit", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 99, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "\":", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 100, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "20", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 101, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": ",\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 102, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "sort", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 103, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "\":\"", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 104, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "last", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 105, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "Modified", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.delta +data: {"sequence_number": 106, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "delta": "\"}", "type": "response.function_call_arguments.delta"} + +event: response.function_call_arguments.done +data: {"sequence_number": 107, "item_id": "func_dLgBdwauJpWR46WEW9aTwnPZHXB74CLGW5fuInnNcqryTQHeo9", "output_index": 11, "arguments": "", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 108, "output_index": 11, "item": {"id": "func_dLgBdwauJpWR46WEW9aTwnPZHXB74CLGW5fuInnNcqryTQHeo9", "status": "completed", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.function_call_arguments.done +data: {"sequence_number": 109, "item_id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "output_index": 12, "arguments": "{\"query\":\"open-llm-leaderboard\",\"limit\":20,\"sort\":\"lastModified\"}", "type": "response.function_call_arguments.done"} + +event: response.output_item.done +data: {"sequence_number": 110, "output_index": 12, "item": {"id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"open-llm-leaderboard\",\"limit\":20,\"sort\":\"lastModified\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, "type": "response.output_item.done"} + +event: response.output_item.added +data: {"sequence_number": 111, "output_index": 13, "item": {"id": "funcout_dLgBdwauJpWR46WEW9lKZYm3xyd3LAQqV19sfOpxW5ijKzHhVV", "status": "completed", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.added"} + +event: response.output_item.done +data: {"sequence_number": 112, "output_index": 13, "item": {"id": "funcout_dLgBdwauJpWR46WEW9lKZYm3xyd3LAQqV19sfOpxW5ijKzHhVV", "status": "completed", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, "type": "response.output_item.done"} + +: keep-alive + +event: response.output_item.added +data: {"sequence_number": 113, "output_index": 14, "item": {"id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "status": "in_progress", "content": [], "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "message", "role": "assistant"}, "type": "response.output_item.added"} + +event: response.content_part.added +data: {"sequence_number": 114, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "part": {"text": "", "annotations": [], "logprobs": [], "type": "output_text"}, "type": "response.content_part.added"} + +event: response.output_text.delta +data: {"sequence_number": 115, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Do", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 116, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " you", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 117, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " mean", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 118, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 119, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u201c", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 120, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Trending", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 121, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u201d", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 122, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " tab", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 123, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " on", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 124, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 125, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 126, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 127, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 128, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Open", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 129, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " L", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 130, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "LM", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 131, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Leader", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 132, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "board", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 133, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " space", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 134, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 135, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " or", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 136, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " just", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 137, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 138, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " currently", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 139, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " trending", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 140, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " text", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 141, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u2011", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 142, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "generation", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 143, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " models", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 144, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " on", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 145, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 146, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Hub", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 147, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "?\n\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 148, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "If", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 149, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " you", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 150, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u2019re", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 151, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " looking", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 152, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " for", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 153, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Hub", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 154, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-wide", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 155, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " trending", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 156, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " text", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 157, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u2011", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 158, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "generation", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 159, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " models", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 160, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " right", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 161, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " now", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 162, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 163, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " here", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 164, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " are", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 165, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 166, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " top", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 167, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " ones", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 168, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " by", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 169, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " trending", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 170, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " score", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 171, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ":\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 172, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 173, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 174, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "vidia", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 175, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 176, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "VIDIA", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 177, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 178, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 179, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 180, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 181, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 182, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 183, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 184, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 185, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 186, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 187, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 188, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 189, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 190, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 191, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "F", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 192, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "16", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 193, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 194, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 195, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 196, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 197, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 198, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 199, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 200, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 201, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "vidia", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 202, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 203, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "VIDIA", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 204, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 205, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 206, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 207, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 208, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 209, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 210, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 211, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 212, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 213, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 214, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 215, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 216, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 217, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 218, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "F", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 219, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "16", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 220, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 221, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 222, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " open", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 223, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ai", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 224, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/c", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 225, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ircuit", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 226, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-s", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 227, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "pars", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 228, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ity", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 229, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 230, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 231, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 232, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 233, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 234, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 235, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 236, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/open", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 237, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ai", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 238, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/c", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 239, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ircuit", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 240, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-s", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 241, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "pars", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 242, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ity", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 243, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 244, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 245, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " deep", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 246, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "seek", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 247, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-ai", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 248, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 249, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Deep", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 250, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Seek", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 251, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-V", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 252, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 253, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 254, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "2", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 255, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 256, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 257, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 258, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 259, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 260, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 261, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 262, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/de", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 263, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ep", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 264, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "seek", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 265, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-ai", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 266, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 267, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Deep", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 268, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Seek", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 269, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-V", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 270, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 271, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 272, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "2", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 273, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 274, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 275, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Essential", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 276, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "AI", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 277, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/r", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 278, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "nj", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 279, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 280, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "1", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 281, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-in", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 282, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "struct", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 283, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 284, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 285, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 286, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 287, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 288, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 289, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 290, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 291, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Essential", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 292, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "AI", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 293, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/r", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 294, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "nj", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 295, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 296, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "1", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 297, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-in", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 298, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "struct", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 299, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 300, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 301, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 302, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "vidia", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 303, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 304, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "VIDIA", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 305, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 306, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 307, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 308, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 309, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 310, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 311, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 312, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 313, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 314, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 315, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 316, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 317, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 318, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-F", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 319, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "P", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 320, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "8", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 321, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 322, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 323, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 324, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 325, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 326, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 327, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 328, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 329, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "vidia", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 330, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 331, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "VIDIA", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 332, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 333, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 334, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 335, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 336, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 337, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 338, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 339, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 340, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 341, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 342, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 343, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 344, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 345, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-F", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 346, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "P", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 347, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "8", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 348, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 349, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 350, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Nan", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 351, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "be", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 352, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ige", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 353, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 354, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "an", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 355, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "be", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 356, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ige", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 357, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "4", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 358, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 359, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 360, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 361, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-Th", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 362, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "inking", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 363, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 364, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "251", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 365, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "1", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 366, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 367, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 368, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 369, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 370, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 371, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 372, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 373, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 374, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "an", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 375, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "be", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 376, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ige", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 377, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 378, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "an", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 379, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "be", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 380, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ige", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 381, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "4", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 382, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 383, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 384, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 385, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-Th", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 386, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "inking", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 387, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 388, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "251", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 389, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "1", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 390, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 391, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 392, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " uns", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 393, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "l", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 394, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "oth", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 395, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 396, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 397, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 398, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 399, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 400, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 401, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 402, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 403, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 404, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 405, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 406, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 407, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 408, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-G", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 409, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "G", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 410, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "UF", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 411, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 412, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 413, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 414, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 415, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 416, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 417, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 418, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 419, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "uns", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 420, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "l", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 421, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "oth", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 422, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 423, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "em", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 424, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "otron", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 425, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 426, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 427, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-N", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 428, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ano", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 429, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 430, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "30", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 431, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 432, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-A", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 433, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 434, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 435, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-G", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 436, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "G", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 437, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "UF", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 438, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 439, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 440, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Xiaomi", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 441, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mi", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 442, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mo", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 443, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/M", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 444, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "i", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 445, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mo", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 446, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-V", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 447, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "2", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 448, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 449, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Flash", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 450, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 451, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 452, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 453, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 454, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 455, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 456, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 457, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/X", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 458, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "iaomi", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 459, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mi", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 460, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mo", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 461, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/M", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 462, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "i", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 463, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Mo", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 464, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-V", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 465, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "2", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 466, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 467, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Flash", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 468, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 469, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 470, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Future", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 471, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Ma", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 472, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/Q", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 473, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "wen", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 474, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 475, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 476, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "8", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 477, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 478, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 479, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Drama", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 480, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-Th", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 481, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "inking", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 482, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2014", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 483, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " https", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 484, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "://", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 485, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "hug", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 486, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "ging", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 487, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "face", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 488, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".co", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 489, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/F", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 490, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "uture", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 491, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Ma", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 492, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "/Q", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 493, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "wen", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 494, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "3", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 495, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 496, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "8", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 497, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 498, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 499, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Drama", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 500, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "-Th", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 501, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "inking", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 502, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\n\n", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 503, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "If", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 504, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " you", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 505, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " want", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 506, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 507, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u201c", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 508, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "Trending", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 509, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u201d", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 510, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " list", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 511, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " specifically", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 512, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " within", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 513, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 514, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Open", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 515, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " L", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 516, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "LM", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 517, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Leader", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 518, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "board", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 519, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " (", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 520, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 521, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " Space", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 522, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "),", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 523, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " let", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 524, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " me", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 525, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " know", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 526, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " which", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 527, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " track", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 528, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " you", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 529, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " care", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 530, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " about", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 531, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " (", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 532, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "e", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 533, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".g", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 534, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".,", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 535, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " all", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 536, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " models", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 537, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 538, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2264", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 539, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "7", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 540, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 541, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 542, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " ", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 543, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "7", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 544, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u2013", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 545, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "70", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 546, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 547, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 548, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " \u2265", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 549, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "70", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 550, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "B", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 551, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ",", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 552, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " base", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 553, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " vs", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 554, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 555, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " instruct", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 556, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "),", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 557, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " and", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 558, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " I", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 559, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": "\u2019ll", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 560, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " pull", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 561, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " the", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 562, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " current", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 563, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " entries", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 564, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " for", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 565, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " that", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 566, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": " view", "type": "response.output_text.delta"} + +event: response.output_text.delta +data: {"sequence_number": 567, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "delta": ".", "type": "response.output_text.delta"} + +event: response.output_text.done +data: {"sequence_number": 568, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "text": "Do you mean the \u201cTrending\u201d tab on the Hugging Face Open LLM Leaderboard space, or just the currently trending text\u2011generation models on the Hub?\n\nIf you\u2019re looking for Hub-wide trending text\u2011generation models right now, here are the top ones by trending score:\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16\n- openai/circuit-sparsity \u2014 https://huggingface.co/openai/circuit-sparsity\n- deepseek-ai/DeepSeek-V3.2 \u2014 https://huggingface.co/deepseek-ai/DeepSeek-V3.2\n- EssentialAI/rnj-1-instruct \u2014 https://huggingface.co/EssentialAI/rnj-1-instruct\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8\n- Nanbeige/Nanbeige4-3B-Thinking-2511 \u2014 https://huggingface.co/Nanbeige/Nanbeige4-3B-Thinking-2511\n- unsloth/Nemotron-3-Nano-30B-A3B-GGUF \u2014 https://huggingface.co/unsloth/Nemotron-3-Nano-30B-A3B-GGUF\n- XiaomiMiMo/MiMo-V2-Flash \u2014 https://huggingface.co/XiaomiMiMo/MiMo-V2-Flash\n- FutureMa/Qwen3-8B-Drama-Thinking \u2014 https://huggingface.co/FutureMa/Qwen3-8B-Drama-Thinking\n\nIf you want the \u201cTrending\u201d list specifically within the Open LLM Leaderboard (the Space), let me know which track you care about (e.g., all models, \u22647B, 7\u201370B, \u226570B, base vs. instruct), and I\u2019ll pull the current entries for that view.", "type": "response.output_text.done"} + +event: response.content_part.done +data: {"sequence_number": 569, "item_id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "output_index": 14, "content_index": 0, "part": {"text": "Do you mean the \u201cTrending\u201d tab on the Hugging Face Open LLM Leaderboard space, or just the currently trending text\u2011generation models on the Hub?\n\nIf you\u2019re looking for Hub-wide trending text\u2011generation models right now, here are the top ones by trending score:\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16\n- openai/circuit-sparsity \u2014 https://huggingface.co/openai/circuit-sparsity\n- deepseek-ai/DeepSeek-V3.2 \u2014 https://huggingface.co/deepseek-ai/DeepSeek-V3.2\n- EssentialAI/rnj-1-instruct \u2014 https://huggingface.co/EssentialAI/rnj-1-instruct\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8\n- Nanbeige/Nanbeige4-3B-Thinking-2511 \u2014 https://huggingface.co/Nanbeige/Nanbeige4-3B-Thinking-2511\n- unsloth/Nemotron-3-Nano-30B-A3B-GGUF \u2014 https://huggingface.co/unsloth/Nemotron-3-Nano-30B-A3B-GGUF\n- XiaomiMiMo/MiMo-V2-Flash \u2014 https://huggingface.co/XiaomiMiMo/MiMo-V2-Flash\n- FutureMa/Qwen3-8B-Drama-Thinking \u2014 https://huggingface.co/FutureMa/Qwen3-8B-Drama-Thinking\n\nIf you want the \u201cTrending\u201d list specifically within the Open LLM Leaderboard (the Space), let me know which track you care about (e.g., all models, \u22647B, 7\u201370B, \u226570B, base vs. instruct), and I\u2019ll pull the current entries for that view.", "annotations": [], "logprobs": [], "type": "output_text"}, "type": "response.content_part.done"} + +event: response.output_item.done +data: {"sequence_number": 570, "output_index": 14, "item": {"id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "status": "completed", "content": [{"text": "Do you mean the \u201cTrending\u201d tab on the Hugging Face Open LLM Leaderboard space, or just the currently trending text\u2011generation models on the Hub?\n\nIf you\u2019re looking for Hub-wide trending text\u2011generation models right now, here are the top ones by trending score:\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16\n- openai/circuit-sparsity \u2014 https://huggingface.co/openai/circuit-sparsity\n- deepseek-ai/DeepSeek-V3.2 \u2014 https://huggingface.co/deepseek-ai/DeepSeek-V3.2\n- EssentialAI/rnj-1-instruct \u2014 https://huggingface.co/EssentialAI/rnj-1-instruct\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8\n- Nanbeige/Nanbeige4-3B-Thinking-2511 \u2014 https://huggingface.co/Nanbeige/Nanbeige4-3B-Thinking-2511\n- unsloth/Nemotron-3-Nano-30B-A3B-GGUF \u2014 https://huggingface.co/unsloth/Nemotron-3-Nano-30B-A3B-GGUF\n- XiaomiMiMo/MiMo-V2-Flash \u2014 https://huggingface.co/XiaomiMiMo/MiMo-V2-Flash\n- FutureMa/Qwen3-8B-Drama-Thinking \u2014 https://huggingface.co/FutureMa/Qwen3-8B-Drama-Thinking\n\nIf you want the \u201cTrending\u201d list specifically within the Open LLM Leaderboard (the Space), let me know which track you care about (e.g., all models, \u22647B, 7\u201370B, \u226570B, base vs. instruct), and I\u2019ll pull the current entries for that view.", "annotations": [], "logprobs": [], "type": "output_text"}], "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "message", "role": "assistant"}, "type": "response.output_item.done"} + +event: response.completed +data: {"sequence_number": 571, "response": {"object": "response", "agent_id": null, "id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC", "status": "completed", "created_at": 1765914648, "conversation": {"id": "conv_dLgBdwauJpWR46WEW9y85J9Sh7KkwIQPXvhCLLm4QEErEFRbXl"}, "output": [{"id": "func_dLgBdwauJpWR46WEW9BgSgW2j0Ud7wlm0rS4DqEQqO8uWtlwFO", "status": "completed", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "name": "model_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "func_dLgBdwauJpWR46WEW9hhR9nB8tkZFAmCoZY21kym6mmZSEEilk", "status": "completed", "call_id": "", "name": "", "arguments": "{\"task\": \"text-generation\", \"sort\": \"trendingScore\", \"limit\": 10}{\"query\": \"Open LLM Leaderboard\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "func_dLgBdwauJpWR46WEW9sHCDggWV8f48mJrzizILSgD0WAFHsk4H", "status": "completed", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "funcout_dLgBdwauJpWR46WEW9hCiUyt0RiIS8e4223VewikyK1b2sxCBS", "status": "completed", "call_id": "call_1GtiQZWnFZDG4vCuVMIThCSc", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, {"id": "funcout_dLgBdwauJpWR46WEW9kyxY03wUAdlIRWh3zp28u8fmWxJcrQOT", "status": "completed", "call_id": "call_NFBMazdan6yh6DPzT6pxhZLM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, {"id": "func_dLgBdwauJpWR46WEW9oBbRRIpYqyMQwKrTqPNwfQRSI2cLobHl", "status": "completed", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "name": "space_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "func_dLgBdwauJpWR46WEW97CHvIZIAA3OpmQUoxxEBq6vwywFUmdgN", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"HuggingFaceH4 open_llm_leaderboard\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "funcout_dLgBdwauJpWR46WEW9nFdPooviiG5tT3CnPJDbxj0KFW6QutXo", "status": "completed", "call_id": "call_fA5qkVYx1RFZ8ubHjaTcsDkC", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, {"id": "func_dLgBdwauJpWR46WEW9b3offhVdlMexIJstmlbqi9ZsNFqtf3lx", "status": "completed", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "func_dLgBdwauJpWR46WEW9Hg63CMlQzQhum6F87ZFW8vmQIes39YUQ", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"open llm leaderboard trending\", \"limit\": 10, \"sort\": \"downloads\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "funcout_dLgBdwauJpWR46WEW9rhyvpOr1Y7rgDHl35nxLmIXQrtw3XE00", "status": "completed", "call_id": "call_38RXWPVSrXgMqgpNEZ254zUM", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, {"id": "func_dLgBdwauJpWR46WEW9aTwnPZHXB74CLGW5fuInnNcqryTQHeo9", "status": "completed", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "name": "dataset_search", "arguments": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "func_dLgBdwauJpWR46WEW96HK73upj9T2NhrHEprHkDX6NG5MhA28a", "status": "completed", "call_id": "", "name": "", "arguments": "{\"query\":\"open-llm-leaderboard\",\"limit\":20,\"sort\":\"lastModified\"}", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call"}, {"id": "funcout_dLgBdwauJpWR46WEW9lKZYm3xyd3LAQqV19sfOpxW5ijKzHhVV", "status": "completed", "call_id": "call_Z0Yq4mmZA3AiGC5oScdKHa9i", "output": "", "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "function_call_output"}, {"id": "msg_dLgBdwauJpWR46WEW92FZnTIE8J9DGeamj3Q1EYe1veMzhsC6b", "status": "completed", "content": [{"text": "Do you mean the \u201cTrending\u201d tab on the Hugging Face Open LLM Leaderboard space, or just the currently trending text\u2011generation models on the Hub?\n\nIf you\u2019re looking for Hub-wide trending text\u2011generation models right now, here are the top ones by trending score:\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-BF16\n- openai/circuit-sparsity \u2014 https://huggingface.co/openai/circuit-sparsity\n- deepseek-ai/DeepSeek-V3.2 \u2014 https://huggingface.co/deepseek-ai/DeepSeek-V3.2\n- EssentialAI/rnj-1-instruct \u2014 https://huggingface.co/EssentialAI/rnj-1-instruct\n- nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8 \u2014 https://huggingface.co/nvidia/NVIDIA-Nemotron-3-Nano-30B-A3B-FP8\n- Nanbeige/Nanbeige4-3B-Thinking-2511 \u2014 https://huggingface.co/Nanbeige/Nanbeige4-3B-Thinking-2511\n- unsloth/Nemotron-3-Nano-30B-A3B-GGUF \u2014 https://huggingface.co/unsloth/Nemotron-3-Nano-30B-A3B-GGUF\n- XiaomiMiMo/MiMo-V2-Flash \u2014 https://huggingface.co/XiaomiMiMo/MiMo-V2-Flash\n- FutureMa/Qwen3-8B-Drama-Thinking \u2014 https://huggingface.co/FutureMa/Qwen3-8B-Drama-Thinking\n\nIf you want the \u201cTrending\u201d list specifically within the Open LLM Leaderboard (the Space), let me know which track you care about (e.g., all models, \u22647B, 7\u201370B, \u226570B, base vs. instruct), and I\u2019ll pull the current entries for that view.", "annotations": [], "logprobs": [], "type": "output_text"}], "created_by": {"agent": {"type": "agent_id", "name": "ToolClientAgent", "version": ""}, "response_id": "resp_3yB2a6Hmp4dWB20mOWOOuYi5HtQlp56te42ghlp1IdJYBgbNFC"}, "type": "message", "role": "assistant"}]}, "type": "response.completed"} + diff --git a/sdk/agentserver/azure-ai-agentserver-core/azure/ai/agentserver/core/server/base.py b/sdk/agentserver/azure-ai-agentserver-core/azure/ai/agentserver/core/server/base.py index bc749a1fd782..cf85b2fcea07 100644 --- a/sdk/agentserver/azure-ai-agentserver-core/azure/ai/agentserver/core/server/base.py +++ b/sdk/agentserver/azure-ai-agentserver-core/azure/ai/agentserver/core/server/base.py @@ -3,6 +3,7 @@ # --------------------------------------------------------- # pylint: disable=broad-exception-caught,unused-argument,logging-fstring-interpolation,too-many-statements,too-many-return-statements # mypy: ignore-errors +import asyncio import inspect import json import os @@ -38,6 +39,7 @@ logger = get_logger() DEBUG_ERRORS = os.environ.get(Constants.AGENT_DEBUG_ERRORS, "false").lower() == "true" +KEEP_ALIVE_INTERVAL = 15.0 # seconds class AgentRunContextMiddleware(BaseHTTPMiddleware): def __init__(self, app: ASGIApp, agent: Optional['FoundryCBAgent'] = None): @@ -160,9 +162,14 @@ async def gen_async(ex): if ex: return it = iterate_in_threadpool(resp) if inspect.isgenerator(resp) else resp - async for event in it: - seq += 1 - yield _event_to_sse_chunk(event) + # Wrap iterator with keep-alive mechanism + async for event in _iter_with_keep_alive(it): + if event is None: + # Keep-alive signal + yield _keep_alive_comment() + else: + seq += 1 + yield _event_to_sse_chunk(event) logger.info("End of processing CreateResponse request.") except Exception as e: # noqa: BLE001 logger.error("Error in async generator: %s", e, exc_info=True) @@ -517,6 +524,54 @@ def _event_to_sse_chunk(event: ResponseStreamEvent) -> str: return f"data: {event_data}\n\n" +def _keep_alive_comment() -> str: + """Generate a keep-alive SSE comment to maintain connection.""" + return ": keep-alive\n\n" + + +async def _iter_with_keep_alive( + it: AsyncGenerator[ResponseStreamEvent, None] +) -> AsyncGenerator[Optional[ResponseStreamEvent], None]: + """Wrap an async iterator with keep-alive mechanism. + + If no event is received within KEEP_ALIVE_INTERVAL seconds, + yields None as a signal to send a keep-alive comment. + The original iterator is protected with asyncio.shield to ensure + it continues running even when timeout occurs. + """ + it_anext = it.__anext__ + pending_task: Optional[asyncio.Task] = None + + while True: + try: + # If there's a pending task from previous timeout, wait for it first + if pending_task is not None: + event = await pending_task + pending_task = None + yield event + continue + + # Create a task for the next event + next_event_task = asyncio.create_task(it_anext()) + + try: + # Shield the task and wait with timeout + event = await asyncio.wait_for( + asyncio.shield(next_event_task), + timeout=KEEP_ALIVE_INTERVAL + ) + yield event + except asyncio.TimeoutError: + # Timeout occurred, but task continues due to shield + # Save task to check in next iteration + pending_task = next_event_task + yield None + + except StopAsyncIteration: + # Iterator exhausted + break + + def _format_error(exc: Exception) -> str: message = str(exc) if message: diff --git a/test-agent-0106/.github/CODE_OF_CONDUCT.md b/test-agent-0106/.github/CODE_OF_CONDUCT.md new file mode 100644 index 000000000000..f9ba8cf65f3e --- /dev/null +++ b/test-agent-0106/.github/CODE_OF_CONDUCT.md @@ -0,0 +1,9 @@ +# Microsoft Open Source Code of Conduct + +This project has adopted the [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/). + +Resources: + +- [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/) +- [Microsoft Code of Conduct FAQ](https://opensource.microsoft.com/codeofconduct/faq/) +- Contact [opencode@microsoft.com](mailto:opencode@microsoft.com) with questions or concerns diff --git a/test-agent-0106/.github/ISSUE_TEMPLATE.md b/test-agent-0106/.github/ISSUE_TEMPLATE.md new file mode 100644 index 000000000000..15c7f6022862 --- /dev/null +++ b/test-agent-0106/.github/ISSUE_TEMPLATE.md @@ -0,0 +1,33 @@ + +> Please provide us with the following information: +> --------------------------------------------------------------- + +### This issue is for a: (mark with an `x`) +``` +- [ ] bug report -> please search issues before submitting +- [ ] feature request +- [ ] documentation issue or request +- [ ] regression (a behavior that used to work and stopped in a new release) +``` + +### Minimal steps to reproduce +> + +### Any log messages given by the failure +> + +### Expected/desired behavior +> + +### OS and Version? +> Windows 7, 8 or 10. Linux (which distribution). macOS (Yosemite? El Capitan? Sierra?) + +### Versions +> + +### Mention any other details that might be useful + +> --------------------------------------------------------------- +> Thanks! We'll be in touch soon. diff --git a/test-agent-0106/.github/PULL_REQUEST_TEMPLATE.md b/test-agent-0106/.github/PULL_REQUEST_TEMPLATE.md new file mode 100644 index 000000000000..ab05e292b7fc --- /dev/null +++ b/test-agent-0106/.github/PULL_REQUEST_TEMPLATE.md @@ -0,0 +1,45 @@ +## Purpose + +* ... + +## Does this introduce a breaking change? + +``` +[ ] Yes +[ ] No +``` + +## Pull Request Type +What kind of change does this Pull Request introduce? + + +``` +[ ] Bugfix +[ ] Feature +[ ] Code style update (formatting, local variables) +[ ] Refactoring (no functional changes, no api changes) +[ ] Documentation content changes +[ ] Other... Please describe: +``` + +## How to Test +* Get the code + +``` +git clone [repo-address] +cd [repo-name] +git checkout [branch-name] +npm install +``` + +* Test the code + +``` +``` + +## What to Check +Verify that the following are valid +* ... + +## Other Information + \ No newline at end of file diff --git a/test-agent-0106/.gitignore b/test-agent-0106/.gitignore new file mode 100644 index 000000000000..ea567ea35921 --- /dev/null +++ b/test-agent-0106/.gitignore @@ -0,0 +1,419 @@ +## Ignore Visual Studio temporary files, build results, and +## files generated by popular Visual Studio add-ons. +## +## Get latest from https://github.com/github/gitignore/blob/main/VisualStudio.gitignore + +# User-specific files +*.rsuser +*.suo +*.user +*.userosscache +*.sln.docstates +*.env + +# User-specific files (MonoDevelop/Xamarin Studio) +*.userprefs + +# Mono auto generated files +mono_crash.* + +# Build results +[Dd]ebug/ +[Dd]ebugPublic/ +[Rr]elease/ +[Rr]eleases/ +x64/ +x86/ +[Ww][Ii][Nn]32/ +[Aa][Rr][Mm]/ +[Aa][Rr][Mm]64/ +[Aa][Rr][Mm]64[Ee][Cc]/ +bld/ +[Oo]bj/ +[Oo]ut/ +[Ll]og/ +[Ll]ogs/ + +# Build results on 'Bin' directories +**/[Bb]in/* +# Uncomment if you have tasks that rely on *.refresh files to move binaries +# (https://github.com/github/gitignore/pull/3736) +#!**/[Bb]in/*.refresh + +# Visual Studio 2015/2017 cache/options directory +.vs/ +# Uncomment if you have tasks that create the project's static files in wwwroot +#wwwroot/ + +# Visual Studio 2017 auto generated files +Generated\ Files/ + +# MSTest test Results +[Tt]est[Rr]esult*/ +[Bb]uild[Ll]og.* +*.trx + +# NUnit +*.VisualState.xml +TestResult.xml +nunit-*.xml + +# Approval Tests result files +*.received.* + +# Build Results of an ATL Project +[Dd]ebugPS/ +[Rr]eleasePS/ +dlldata.c + +# Benchmark Results +BenchmarkDotNet.Artifacts/ + +# .NET Core +project.lock.json +project.fragment.lock.json +artifacts/ + +# ASP.NET Scaffolding +ScaffoldingReadMe.txt + +# StyleCop +StyleCopReport.xml + +# Files built by Visual Studio +*_i.c +*_p.c +*_h.h +*.ilk +*.meta +*.obj +*.idb +*.iobj +*.pch +*.pdb +*.ipdb +*.pgc +*.pgd +*.rsp +# but not Directory.Build.rsp, as it configures directory-level build defaults +!Directory.Build.rsp +*.sbr +*.tlb +*.tli +*.tlh +*.tmp +*.tmp_proj +*_wpftmp.csproj +*.log +*.tlog +*.vspscc +*.vssscc +.builds +*.pidb +*.svclog +*.scc + +# Chutzpah Test files +_Chutzpah* + +# Visual C++ cache files +ipch/ +*.aps +*.ncb +*.opendb +*.opensdf +*.sdf +*.cachefile +*.VC.db +*.VC.VC.opendb + +# Visual Studio profiler +*.psess +*.vsp +*.vspx +*.sap + +# Visual Studio Trace Files +*.e2e + +# TFS 2012 Local Workspace +$tf/ + +# Guidance Automation Toolkit +*.gpState + +# ReSharper is a .NET coding add-in +_ReSharper*/ +*.[Rr]e[Ss]harper +*.DotSettings.user + +# TeamCity is a build add-in +_TeamCity* + +# DotCover is a Code Coverage Tool +*.dotCover + +# AxoCover is a Code Coverage Tool +.axoCover/* +!.axoCover/settings.json + +# Coverlet is a free, cross platform Code Coverage Tool +coverage*.json +coverage*.xml +coverage*.info + +# Visual Studio code coverage results +*.coverage +*.coveragexml + +# NCrunch +_NCrunch_* +.NCrunch_* +.*crunch*.local.xml +nCrunchTemp_* + +# MightyMoose +*.mm.* +AutoTest.Net/ + +# Web workbench (sass) +.sass-cache/ + +# Installshield output folder +[Ee]xpress/ + +# DocProject is a documentation generator add-in +DocProject/buildhelp/ +DocProject/Help/*.HxT +DocProject/Help/*.HxC +DocProject/Help/*.hhc +DocProject/Help/*.hhk +DocProject/Help/*.hhp +DocProject/Help/Html2 +DocProject/Help/html + +# Click-Once directory +publish/ + +# Publish Web Output +*.[Pp]ublish.xml +*.azurePubxml +# Note: Comment the next line if you want to checkin your web deploy settings, +# but database connection strings (with potential passwords) will be unencrypted +*.pubxml +*.publishproj + +# Microsoft Azure Web App publish settings. Comment the next line if you want to +# checkin your Azure Web App publish settings, but sensitive information contained +# in these scripts will be unencrypted +PublishScripts/ + +# NuGet Packages +*.nupkg +# NuGet Symbol Packages +*.snupkg +# The packages folder can be ignored because of Package Restore +**/[Pp]ackages/* +# except build/, which is used as an MSBuild target. +!**/[Pp]ackages/build/ +# Uncomment if necessary however generally it will be regenerated when needed +#!**/[Pp]ackages/repositories.config +# NuGet v3's project.json files produces more ignorable files +*.nuget.props +*.nuget.targets + +# Microsoft Azure Build Output +csx/ +*.build.csdef + +# Microsoft Azure Emulator +ecf/ +rcf/ + +# Windows Store app package directories and files +AppPackages/ +BundleArtifacts/ +Package.StoreAssociation.xml +_pkginfo.txt +*.appx +*.appxbundle +*.appxupload + +# Visual Studio cache files +# files ending in .cache can be ignored +*.[Cc]ache +# but keep track of directories ending in .cache +!?*.[Cc]ache/ + +# Others +ClientBin/ +~$* +*~ +*.dbmdl +*.dbproj.schemaview +*.jfm +*.pfx +*.publishsettings +orleans.codegen.cs + +# Including strong name files can present a security risk +# (https://github.com/github/gitignore/pull/2483#issue-259490424) +#*.snk + +# Since there are multiple workflows, uncomment next line to ignore bower_components +# (https://github.com/github/gitignore/pull/1529#issuecomment-104372622) +#bower_components/ + +# RIA/Silverlight projects +Generated_Code/ + +# Backup & report files from converting an old project file +# to a newer Visual Studio version. Backup files are not needed, +# because we have git ;-) +_UpgradeReport_Files/ +Backup*/ +UpgradeLog*.XML +UpgradeLog*.htm +ServiceFabricBackup/ +*.rptproj.bak + +# SQL Server files +*.mdf +*.ldf +*.ndf + +# Business Intelligence projects +*.rdl.data +*.bim.layout +*.bim_*.settings +*.rptproj.rsuser +*- [Bb]ackup.rdl +*- [Bb]ackup ([0-9]).rdl +*- [Bb]ackup ([0-9][0-9]).rdl + +# Microsoft Fakes +FakesAssemblies/ + +# GhostDoc plugin setting file +*.GhostDoc.xml + +# Node.js Tools for Visual Studio +.ntvs_analysis.dat +node_modules/ + +# Visual Studio 6 build log +*.plg + +# Visual Studio 6 workspace options file +*.opt + +# Visual Studio 6 auto-generated workspace file (contains which files were open etc.) +*.vbw + +# Visual Studio 6 auto-generated project file (contains which files were open etc.) +*.vbp + +# Visual Studio 6 workspace and project file (working project files containing files to include in project) +*.dsw +*.dsp + +# Visual Studio 6 technical files +*.ncb +*.aps + +# Visual Studio LightSwitch build output +**/*.HTMLClient/GeneratedArtifacts +**/*.DesktopClient/GeneratedArtifacts +**/*.DesktopClient/ModelManifest.xml +**/*.Server/GeneratedArtifacts +**/*.Server/ModelManifest.xml +_Pvt_Extensions + +# Paket dependency manager +**/.paket/paket.exe +paket-files/ + +# FAKE - F# Make +**/.fake/ + +# CodeRush personal settings +**/.cr/personal + +# Python Tools for Visual Studio (PTVS) +**/__pycache__/ +*.pyc + +# Cake - Uncomment if you are using it +#tools/** +#!tools/packages.config + +# Tabs Studio +*.tss + +# Telerik's JustMock configuration file +*.jmconfig + +# BizTalk build output +*.btp.cs +*.btm.cs +*.odx.cs +*.xsd.cs + +# OpenCover UI analysis results +OpenCover/ + +# Azure Stream Analytics local run output +ASALocalRun/ + +# MSBuild Binary and Structured Log +*.binlog +MSBuild_Logs/ + +# AWS SAM Build and Temporary Artifacts folder +.aws-sam + +# NVidia Nsight GPU debugger configuration file +*.nvuser + +# MFractors (Xamarin productivity tool) working folder +**/.mfractor/ + +# Local History for Visual Studio +**/.localhistory/ + +# Visual Studio History (VSHistory) files +.vshistory/ + +# BeatPulse healthcheck temp database +healthchecksdb + +# Backup folder for Package Reference Convert tool in Visual Studio 2017 +MigrationBackup/ + +# Ionide (cross platform F# VS Code tools) working folder +**/.ionide/ + +# Fody - auto-generated XML schema +FodyWeavers.xsd + +# VS Code files for those working on multiple tools +.vscode/* +!.vscode/settings.json +!.vscode/tasks.json +!.vscode/launch.json +!.vscode/extensions.json +!.vscode/*.code-snippets + +# Local History for Visual Studio Code +.history/ + +# Built Visual Studio Code Extensions +*.vsix + +# Windows Installer files from build outputs +*.cab +*.msi +*.msix +*.msm +*.msp +.azure diff --git a/test-agent-0106/CHANGELOG.md b/test-agent-0106/CHANGELOG.md new file mode 100644 index 000000000000..982475272da7 --- /dev/null +++ b/test-agent-0106/CHANGELOG.md @@ -0,0 +1,13 @@ +## [project-title] Changelog + + +# x.y.z (yyyy-mm-dd) + +*Features* +* ... + +*Bug Fixes* +* ... + +*Breaking Changes* +* ... diff --git a/test-agent-0106/CONTRIBUTING.md b/test-agent-0106/CONTRIBUTING.md new file mode 100644 index 000000000000..61f05ea3b3b9 --- /dev/null +++ b/test-agent-0106/CONTRIBUTING.md @@ -0,0 +1,76 @@ +# Contributing to Azure AI Foundry `azd` starter kit + +This project welcomes contributions and suggestions. Most contributions require you to agree to a +Contributor License Agreement (CLA) declaring that you have the right to, and actually do, grant us +the rights to use your contribution. For details, visit https://cla.opensource.microsoft.com. + +When you submit a pull request, a CLA bot will automatically determine whether you need to provide +a CLA and decorate the PR appropriately (e.g., status check, comment). Simply follow the instructions +provided by the bot. You will only need to do this once across all repos using our CLA. + +This project has adopted the [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/). +For more information see the [Code of Conduct FAQ](https://opensource.microsoft.com/codeofconduct/faq/) or +contact [opencode@microsoft.com](mailto:opencode@microsoft.com) with any additional questions or comments. + + - [Code of Conduct](#coc) + - [Issues and Bugs](#issue) + - [Feature Requests](#feature) + - [Submission Guidelines](#submit) + +## Code of Conduct +Help us keep this project open and inclusive. Please read and follow our [Code of Conduct](https://opensource.microsoft.com/codeofconduct/). + +## Found an Issue? +If you find a bug in the source code or a mistake in the documentation, you can help us by +[submitting an issue](#submit-issue) to the GitHub Repository. Even better, you can +[submit a Pull Request](#submit-pr) with a fix. + +## Want a Feature? +You can *request* a new feature by [submitting an issue](#submit-issue) to the GitHub +Repository. If you would like to *implement* a new feature, please submit an issue with +a proposal for your work first, to be sure that we can use it. + +* **Small Features** can be crafted and directly [submitted as a Pull Request](#submit-pr). + +## Submission Guidelines + +### Submitting an Issue +Before you submit an issue, search the archive, maybe your question was already answered. + +If your issue appears to be a bug, and hasn't been reported, open a new issue. +Help us to maximize the effort we can spend fixing issues and adding new +features, by not reporting duplicate issues. Providing the following information will increase the +chances of your issue being dealt with quickly: + +* **Overview of the Issue** - if an error is being thrown a non-minified stack trace helps +* **Version** - what version is affected (e.g. 0.1.2) +* **Motivation for or Use Case** - explain what are you trying to do and why the current behavior is a bug for you +* **Browsers and Operating System** - is this a problem with all browsers? +* **Reproduce the Error** - provide a live example or a unambiguous set of steps +* **Related Issues** - has a similar issue been reported before? +* **Suggest a Fix** - if you can't fix the bug yourself, perhaps you can point to what might be + causing the problem (line of code or commit) + +You can file new issues by providing the above information at the corresponding repository's issues link: https://github.com/[organization-name]/[repository-name]/issues/new]. + +### Submitting a Pull Request (PR) +Before you submit your Pull Request (PR) consider the following guidelines: + +* Search the repository (https://github.com/[organization-name]/[repository-name]/pulls) for an open or closed PR + that relates to your submission. You don't want to duplicate effort. + +* Make your changes in a new git fork: + +* Commit your changes using a descriptive commit message +* Push your fork to GitHub: +* In GitHub, create a pull request +* If we suggest changes then: + * Make the required updates. + * Rebase your fork and force push to your GitHub repository (this will update your Pull Request): + + ```shell + git rebase master -i + git push -f + ``` + +That's it! Thank you for your contribution! \ No newline at end of file diff --git a/test-agent-0106/LICENSE.md b/test-agent-0106/LICENSE.md new file mode 100644 index 000000000000..79656060de00 --- /dev/null +++ b/test-agent-0106/LICENSE.md @@ -0,0 +1,21 @@ + MIT License + + Copyright (c) Microsoft Corporation. + + Permission is hereby granted, free of charge, to any person obtaining a copy + of this software and associated documentation files (the "Software"), to deal + in the Software without restriction, including without limitation the rights + to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + copies of the Software, and to permit persons to whom the Software is + furnished to do so, subject to the following conditions: + + The above copyright notice and this permission notice shall be included in all + copies or substantial portions of the Software. + + THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + SOFTWARE \ No newline at end of file diff --git a/test-agent-0106/README.md b/test-agent-0106/README.md new file mode 100644 index 000000000000..73d0b77d74e4 --- /dev/null +++ b/test-agent-0106/README.md @@ -0,0 +1,183 @@ +# Microsoft Foundry `azd` bicep starter kit (basic) + +This Azure Developer CLI (azd) template provides a streamlined way to provision and deploy Microsoft Foundry resources for building and running AI agents. It includes infrastructure-as-code definitions and sample application code to help you quickly get started with Microsoft Foundry's agent capabilities, including model deployments, workspace configuration, and supporting services like storage and container hosting. + +This template does **not** include agent code or application code. You will find samples in other repositories such as [foundry-samples](https://github.com/azure-ai-foundry/foundry-samples): +- [hosted agents samples (python)](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/python/hosted-agents) +- [hosted agents samples (C#)](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/csharp/hosted-agents) + +[Features](#features) • [Getting Started](#getting-started) • [Guidance](#guidance) + +This template, the application code and configuration it contains, has been built to showcase Microsoft Azure specific services and tools. We strongly advise our customers not to make this code part of their production environments without implementing or enabling additional security features. + +With any AI solutions you create using these templates, you are responsible for assessing all associated risks, and for complying with all applicable laws and safety standards. Learn more in the transparency documents for [Agent Service](https://learn.microsoft.com/en-us/azure/ai-foundry/responsible-ai/agents/transparency-note) and [Agent Framework](https://github.com/microsoft/agent-framework/blob/main/TRANSPARENCY_FAQ.md). + +## Features + +This project framework provides the following features: + +* **Microsoft Foundry Project**: Complete setup of Microsoft Foundry workspace with project configuration +* **Foundry Model Deployments**: Automatic deployment of AI models for agent capabilities +* **Azure Container Registry**: Container image storage and management for agent deployments +* **Managed Identity**: Built-in Azure Managed Identity for keyless authentication between services + +### Architecture Diagram + +This starter kit will provision the bare minimum for your hosted agent to work (if `ENABLE_HOSTED_AGENTS=true`). + +| Resource | Description | +|----------|-------------| +| [Microsoft Foundry](https://learn.microsoft.com/azure/ai-foundry) | Provides a collaborative workspace for AI development with access to models, data, and compute resources | +| [Azure Container Registry](https://learn.microsoft.com/azure/container-registry/) | Stores and manages container images for secure deployment | +| [Application Insights](https://learn.microsoft.com/azure/azure-monitor/app/app-insights-overview) | *Optional* - Provides application performance monitoring, logging, and telemetry for debugging and optimization | +| [Log Analytics Workspace](https://learn.microsoft.com/azure/azure-monitor/logs/log-analytics-workspace-overview) | *Optional* - Collects and analyzes telemetry data for monitoring and troubleshooting | + +Those resources will be used by the [`azd ai agent` extension](https://aka.ms/azdaiagent/docs) when building and deploying agents: + +```mermaid +graph TB + Dev[👤 Agent Developer] + Dev -->|1. build agent
container code| ACR + Dev -->|2. deploy agent| AIFP + Dev -->|4. query agent| AIFP + + subgraph "Azure Resource Group" + subgraph "Azure AI Foundry Account" + AIFP[Azure AI Foundry
Project] + Models[Model Deployments] + end + + subgraph ACR[Azure Container Registry] + ACC[Agent code container] + end + end + + %% Connections + AIFP --> Models + ACR -->|3. AcrPull| AIFP + + %% Styling + classDef primary fill:#0078d4,stroke:#005a9e,stroke-width:2px,color:#fff + classDef secondary fill:#00bcf2,stroke:#0099bc,stroke-width:2px,color:#fff + + class AIFP,Models primary + class ACR secondary +``` + +The template is parametrized so that it can be configured with additional resources depending on the agent requirements: + +* deploy AI models by setting `AI_PROJECT_DEPLOYMENTS` with a list of model deployment configs, +* provision additional resources (Azure AI Search, Bing Search) by setting `AI_PROJECT_DEPENDENT_RESOURCES`, +* enable monitoring by setting `ENABLE_MONITORING=true` (default on), +* provision connections by setting `AI_PROJECT_CONNECTIONS` with a list of connection configs. + +## Getting Started + +Note: this repository is not meant to be cloned, but to be consumed as a template in your own project: + +```bash +azd init --template Azure-Samples/ai-foundry-starter-basic +``` + +### Prerequisites + +* Install [azd](https://aka.ms/install-azd) + * Windows: `winget install microsoft.azd` + * Linux: `curl -fsSL https://aka.ms/install-azd.sh | bash` + * MacOS: `brew tap azure/azd && brew install azd` + +### Quickstart + +1. Bring down the template code: + + ```shell + azd init --template Azure-Samples/ai-foundry-starter-basic + ``` + + This will perform a git clone + +2. Sign into your Azure account: + + ```shell + azd auth login + ``` + +3. Download a sample agent from GitHub: + + ```shell + azd ai agent init -m + ``` + +You'll find agent samples in the [`foundry-samples` repo](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/microsoft/python/getting-started-agents/hosted-agents). + +## Guidance + +### Region Availability + +This template does not use specific models. The model deployments are a parameter of the template. Each model may not be available in all Azure regions. Check for [up-to-date region availability of Microsoft Foundry](https://learn.microsoft.com/en-us/azure/ai-foundry/reference/region-support) and in particular the [Agent Service](https://learn.microsoft.com/en-us/azure/ai-foundry/agents/concepts/model-region-support?tabs=global-standard). + +## Resource Clean-up + +To prevent incurring unnecessary charges, it's important to clean up your Azure resources after completing your work with the application. + +- **When to Clean Up:** + - After you have finished testing or demonstrating the application. + - If the application is no longer needed or you have transitioned to a different project or environment. + - When you have completed development and are ready to decommission the application. + +- **Deleting Resources:** + To delete all associated resources and shut down the application, execute the following command: + + ```bash + azd down + ``` + + Please note that this process may take up to 20 minutes to complete. + +⚠️ Alternatively, you can delete the resource group directly from the Azure Portal to clean up resources. + +### Costs + +Pricing varies per region and usage, so it isn't possible to predict exact costs for your usage. +The majority of the Azure resources used in this infrastructure are on usage-based pricing tiers. + +You can try the [Azure pricing calculator](https://azure.microsoft.com/pricing/calculator) for the resources deployed in this template. + +* **Microsoft Foundry**: Standard tier. [Pricing](https://azure.microsoft.com/pricing/details/ai-foundry/) +* **Azure AI Services**: S0 tier, defaults to gpt-4o-mini. Pricing is based on token count. [Pricing](https://azure.microsoft.com/pricing/details/cognitive-services/) +* **Azure Container Registry**: Basic SKU. Price is per day and on storage. [Pricing](https://azure.microsoft.com/en-us/pricing/details/container-registry/) +* **Azure Storage Account**: Standard tier, LRS. Pricing is based on storage and operations. [Pricing](https://azure.microsoft.com/pricing/details/storage/blobs/) +* **Log analytics**: Pay-as-you-go tier. Costs based on data ingested. [Pricing](https://azure.microsoft.com/pricing/details/monitor/) +* **Azure AI Search**: Basic tier, LRS. Price is per day and based on transactions. [Pricing](https://azure.microsoft.com/en-us/pricing/details/search/) +* **Grounding with Bing Search**: G1 tier. Costs based on transactions. [Pricing](https://www.microsoft.com/en-us/bing/apis/grounding-pricing) + +⚠️ To avoid unnecessary costs, remember to take down your app if it's no longer in use, either by deleting the resource group in the Portal or running `azd down`. + +### Security guidelines + +This template also uses [Managed Identity](https://learn.microsoft.com/entra/identity/managed-identities-azure-resources/overview) for local development and deployment. + +To ensure continued best practices in your own repository, we recommend that anyone creating solutions based on our templates ensure that the [Github secret scanning](https://docs.github.com/code-security/secret-scanning/about-secret-scanning) setting is enabled. + +You may want to consider additional security measures, such as: + +- Enabling Microsoft Defender for Cloud to [secure your Azure resources](https://learn.microsoft.com/azure/defender-for-cloud/). +- Protecting the Azure Container Apps instance with a [firewall](https://learn.microsoft.com/azure/container-apps/waf-app-gateway) and/or [Virtual Network](https://learn.microsoft.com/azure/container-apps/networking?tabs=workload-profiles-env%2Cazure-cli). + +> **Important Security Notice**
+This template, the application code and configuration it contains, has been built to showcase Microsoft Azure specific services and tools. We strongly advise our customers not to make this code part of their production environments without implementing or enabling additional security features.

+For a more comprehensive list of best practices and security recommendations for Intelligent Applications, [visit our official documentation](https://learn.microsoft.com/en-us/azure/ai-foundry/). + +## Additional Disclaimers + +**Trademarks** This project may contain trademarks or logos for projects, products, or services. Authorized use of Microsoft trademarks or logos is subject to and must follow [Microsoft’s Trademark & Brand Guidelines](https://www.microsoft.com/en-us/legal/intellectualproperty/trademarks/usage/general). Use of Microsoft trademarks or logos in modified versions of this project must not cause confusion or imply Microsoft sponsorship. Any use of third-party trademarks or logos are subject to those third-party’s policies. + +To the extent that the Software includes components or code used in or derived from Microsoft products or services, including without limitation Microsoft Azure Services (collectively, “Microsoft Products and Services”), you must also comply with the Product Terms applicable to such Microsoft Products and Services. You acknowledge and agree that the license governing the Software does not grant you a license or other right to use Microsoft Products and Services. Nothing in the license or this ReadMe file will serve to supersede, amend, terminate or modify any terms in the Product Terms for any Microsoft Products and Services. + +You must also comply with all domestic and international export laws and regulations that apply to the Software, which include restrictions on destinations, end users, and end use. For further information on export restrictions, visit . + +You acknowledge that the Software and Microsoft Products and Services (1) are not designed, intended or made available as a medical device(s), and (2) are not designed or intended to be a substitute for professional medical advice, diagnosis, treatment, or judgment and should not be used to replace or as a substitute for professional medical advice, diagnosis, treatment, or judgment. Customer is solely responsible for displaying and/or obtaining appropriate consents, warnings, disclaimers, and acknowledgements to end users of Customer’s implementation of the Online Services. + +You acknowledge the Software is not subject to SOC 1 and SOC 2 compliance audits. No Microsoft technology, nor any of its component technologies, including the Software, is intended or made available as a substitute for the professional advice, opinion, or judgement of a certified financial services professional. Do not use the Software to replace, substitute, or provide professional financial advice or judgment. + +BY ACCESSING OR USING THE SOFTWARE, YOU ACKNOWLEDGE THAT THE SOFTWARE IS NOT DESIGNED OR INTENDED TO SUPPORT ANY USE IN WHICH A SERVICE INTERRUPTION, DEFECT, ERROR, OR OTHER FAILURE OF THE SOFTWARE COULD RESULT IN THE DEATH OR SERIOUS BODILY INJURY OF ANY PERSON OR IN PHYSICAL OR ENVIRONMENTAL DAMAGE (COLLECTIVELY, “HIGH-RISK USE”), AND THAT YOU WILL ENSURE THAT, IN THE EVENT OF ANY INTERRUPTION, DEFECT, ERROR, OR OTHER FAILURE OF THE SOFTWARE, THE SAFETY OF PEOPLE, PROPERTY, AND THE ENVIRONMENT ARE NOT REDUCED BELOW A LEVEL THAT IS REASONABLY, APPROPRIATE, AND LEGAL, WHETHER IN GENERAL OR IN A SPECIFIC INDUSTRY. BY ACCESSING THE SOFTWARE, YOU FURTHER ACKNOWLEDGE THAT YOUR HIGH-RISK USE OF THE SOFTWARE IS AT YOUR OWN RISK. diff --git a/test-agent-0106/SECURITY.md b/test-agent-0106/SECURITY.md new file mode 100644 index 000000000000..8d61b620f5d0 --- /dev/null +++ b/test-agent-0106/SECURITY.md @@ -0,0 +1,41 @@ + + +## Security + +Microsoft takes the security of our software products and services seriously, which includes all source code repositories managed through our GitHub organizations, which include [Microsoft](https://github.com/Microsoft), [Azure](https://github.com/Azure), [DotNet](https://github.com/dotnet), [AspNet](https://github.com/aspnet), [Xamarin](https://github.com/xamarin), and [our GitHub organizations](https://opensource.microsoft.com/). + +If you believe you have found a security vulnerability in any Microsoft-owned repository that meets [Microsoft's definition of a security vulnerability](), please report it to us as described below. + +## Reporting Security Issues + +**Please do not report security vulnerabilities through public GitHub issues.** + +Instead, please report them to the Microsoft Security Response Center (MSRC) at [https://msrc.microsoft.com/create-report](https://msrc.microsoft.com/create-report). + +If you prefer to submit without logging in, send email to [secure@microsoft.com](mailto:secure@microsoft.com). If possible, encrypt your message with our PGP key; please download it from the [Microsoft Security Response Center PGP Key page](https://www.microsoft.com/msrc/pgp-key-msrc). + +You should receive a response within 24 hours. If for some reason you do not, please follow up via email to ensure we received your original message. Additional information can be found at [microsoft.com/msrc](https://www.microsoft.com/msrc). + +Please include the requested information listed below (as much as you can provide) to help us better understand the nature and scope of the possible issue: + +- Type of issue (e.g. buffer overflow, SQL injection, cross-site scripting, etc.) +- Full paths of source file(s) related to the manifestation of the issue +- The location of the affected source code (tag/branch/commit or direct URL) +- Any special configuration required to reproduce the issue +- Step-by-step instructions to reproduce the issue +- Proof-of-concept or exploit code (if possible) +- Impact of the issue, including how an attacker might exploit the issue + +This information will help us triage your report more quickly. + +If you are reporting for a bug bounty, more complete reports can contribute to a higher bounty award. Please visit our [Microsoft Bug Bounty Program](https://microsoft.com/msrc/bounty) page for more details about our active programs. + +## Preferred Languages + +We prefer all communications to be in English. + +## Policy + +Microsoft follows the principle of [Coordinated Vulnerability Disclosure](https://www.microsoft.com/msrc/cvd). + + \ No newline at end of file diff --git a/test-agent-0106/SUPPORT.md b/test-agent-0106/SUPPORT.md new file mode 100644 index 000000000000..152a066a4c85 --- /dev/null +++ b/test-agent-0106/SUPPORT.md @@ -0,0 +1,13 @@ +# Support + +## How to file issues and get help + +This project uses GitHub Issues to track bugs and feature requests. Please search the existing +issues before filing new issues to avoid duplicates. For new issues, file your bug or +feature request as a new Issue. + +For help and questions about using this project, please submit an issue on this repository. + +## Microsoft Support Policy + +Support for this repository is limited to the resources listed above. diff --git a/test-agent-0106/azure.yaml b/test-agent-0106/azure.yaml new file mode 100644 index 000000000000..b72682192ebd --- /dev/null +++ b/test-agent-0106/azure.yaml @@ -0,0 +1,12 @@ +# yaml-language-server: $schema=https://raw.githubusercontent.com/Azure/azure-dev/main/schemas/v1.0/azure.yaml.json +name: ai-foundry-starter-basic + +infra: + provider: bicep + path: ./infra + +requiredVersions: + extensions: + # the azd ai agent extension is required for this template + "azure.ai.agents": ">=0.1.0-preview" + diff --git a/test-agent-0106/infra/abbreviations.json b/test-agent-0106/infra/abbreviations.json new file mode 100644 index 000000000000..879b2a9507b1 --- /dev/null +++ b/test-agent-0106/infra/abbreviations.json @@ -0,0 +1,137 @@ +{ + "aiFoundryAccounts": "aif", + "analysisServicesServers": "as", + "apiManagementService": "apim-", + "appConfigurationStores": "appcs-", + "appManagedEnvironments": "cae-", + "appContainerApps": "ca-", + "authorizationPolicyDefinitions": "policy-", + "automationAutomationAccounts": "aa-", + "blueprintBlueprints": "bp-", + "blueprintBlueprintsArtifacts": "bpa-", + "cacheRedis": "redis-", + "cdnProfiles": "cdnp-", + "cdnProfilesEndpoints": "cdne-", + "cognitiveServicesAccounts": "cog-", + "cognitiveServicesFormRecognizer": "cog-fr-", + "cognitiveServicesTextAnalytics": "cog-ta-", + "computeAvailabilitySets": "avail-", + "computeCloudServices": "cld-", + "computeDiskEncryptionSets": "des", + "computeDisks": "disk", + "computeDisksOs": "osdisk", + "computeGalleries": "gal", + "computeSnapshots": "snap-", + "computeVirtualMachines": "vm", + "computeVirtualMachineScaleSets": "vmss-", + "containerInstanceContainerGroups": "ci", + "containerRegistryRegistries": "cr", + "containerServiceManagedClusters": "aks-", + "databricksWorkspaces": "dbw-", + "dataFactoryFactories": "adf-", + "dataLakeAnalyticsAccounts": "dla", + "dataLakeStoreAccounts": "dls", + "dataMigrationServices": "dms-", + "dBforMySQLServers": "mysql-", + "dBforPostgreSQLServers": "psql-", + "devicesIotHubs": "iot-", + "devicesProvisioningServices": "provs-", + "devicesProvisioningServicesCertificates": "pcert-", + "documentDBDatabaseAccounts": "cosmos-", + "documentDBMongoDatabaseAccounts": "cosmon-", + "eventGridDomains": "evgd-", + "eventGridDomainsTopics": "evgt-", + "eventGridEventSubscriptions": "evgs-", + "eventHubNamespaces": "evhns-", + "eventHubNamespacesEventHubs": "evh-", + "hdInsightClustersHadoop": "hadoop-", + "hdInsightClustersHbase": "hbase-", + "hdInsightClustersKafka": "kafka-", + "hdInsightClustersMl": "mls-", + "hdInsightClustersSpark": "spark-", + "hdInsightClustersStorm": "storm-", + "hybridComputeMachines": "arcs-", + "insightsActionGroups": "ag-", + "insightsComponents": "appi-", + "keyVaultVaults": "kv-", + "kubernetesConnectedClusters": "arck", + "kustoClusters": "dec", + "kustoClustersDatabases": "dedb", + "logicIntegrationAccounts": "ia-", + "logicWorkflows": "logic-", + "machineLearningServicesWorkspaces": "mlw-", + "managedIdentityUserAssignedIdentities": "id-", + "managementManagementGroups": "mg-", + "migrateAssessmentProjects": "migr-", + "networkApplicationGateways": "agw-", + "networkApplicationSecurityGroups": "asg-", + "networkAzureFirewalls": "afw-", + "networkBastionHosts": "bas-", + "networkConnections": "con-", + "networkDnsZones": "dnsz-", + "networkExpressRouteCircuits": "erc-", + "networkFirewallPolicies": "afwp-", + "networkFirewallPoliciesWebApplication": "waf", + "networkFirewallPoliciesRuleGroups": "wafrg", + "networkFrontDoors": "fd-", + "networkFrontdoorWebApplicationFirewallPolicies": "fdfp-", + "networkLoadBalancersExternal": "lbe-", + "networkLoadBalancersInternal": "lbi-", + "networkLoadBalancersInboundNatRules": "rule-", + "networkLocalNetworkGateways": "lgw-", + "networkNatGateways": "ng-", + "networkNetworkInterfaces": "nic-", + "networkNetworkSecurityGroups": "nsg-", + "networkNetworkSecurityGroupsSecurityRules": "nsgsr-", + "networkNetworkWatchers": "nw-", + "networkPrivateDnsZones": "pdnsz-", + "networkPrivateLinkServices": "pl-", + "networkPublicIPAddresses": "pip-", + "networkPublicIPPrefixes": "ippre-", + "networkRouteFilters": "rf-", + "networkRouteTables": "rt-", + "networkRouteTablesRoutes": "udr-", + "networkTrafficManagerProfiles": "traf-", + "networkVirtualNetworkGateways": "vgw-", + "networkVirtualNetworks": "vnet-", + "networkVirtualNetworksSubnets": "snet-", + "networkVirtualNetworksVirtualNetworkPeerings": "peer-", + "networkVirtualWans": "vwan-", + "networkVpnGateways": "vpng-", + "networkVpnGatewaysVpnConnections": "vcn-", + "networkVpnGatewaysVpnSites": "vst-", + "notificationHubsNamespaces": "ntfns-", + "notificationHubsNamespacesNotificationHubs": "ntf-", + "operationalInsightsWorkspaces": "log-", + "portalDashboards": "dash-", + "powerBIDedicatedCapacities": "pbi-", + "purviewAccounts": "pview-", + "recoveryServicesVaults": "rsv-", + "resourcesResourceGroups": "rg-", + "searchSearchServices": "srch-", + "serviceBusNamespaces": "sb-", + "serviceBusNamespacesQueues": "sbq-", + "serviceBusNamespacesTopics": "sbt-", + "serviceEndPointPolicies": "se-", + "serviceFabricClusters": "sf-", + "signalRServiceSignalR": "sigr", + "sqlManagedInstances": "sqlmi-", + "sqlServers": "sql-", + "sqlServersDataWarehouse": "sqldw-", + "sqlServersDatabases": "sqldb-", + "sqlServersDatabasesStretch": "sqlstrdb-", + "storageStorageAccounts": "st", + "storageStorageAccountsVm": "stvm", + "storSimpleManagers": "ssimp", + "streamAnalyticsCluster": "asa-", + "synapseWorkspaces": "syn", + "synapseWorkspacesAnalyticsWorkspaces": "synw", + "synapseWorkspacesSqlPoolsDedicated": "syndp", + "synapseWorkspacesSqlPoolsSpark": "synsp", + "timeSeriesInsightsEnvironments": "tsi-", + "webServerFarms": "plan-", + "webSitesAppService": "app-", + "webSitesAppServiceEnvironment": "ase-", + "webSitesFunctions": "func-", + "webStaticSites": "stapp-" +} diff --git a/test-agent-0106/infra/core/ai/ai-project.bicep b/test-agent-0106/infra/core/ai/ai-project.bicep new file mode 100644 index 000000000000..7a6ad9c36455 --- /dev/null +++ b/test-agent-0106/infra/core/ai/ai-project.bicep @@ -0,0 +1,349 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Main location for the resources') +param location string + +var resourceToken = uniqueString(subscription().id, resourceGroup().id, location) + +@description('Name of the project') +param aiFoundryProjectName string + +param deployments deploymentsType + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Optional. Name of an existing AI Services account in the current resource group. If not provided, a new one will be created.') +param existingAiAccountName string = '' + +@description('List of connections to provision') +param connections array = [] + +@description('Also provision dependent resources and connect to the project') +param additionalDependentResources dependentResourcesType + +@description('Enable monitoring via appinsights and log analytics') +param enableMonitoring bool = true + +@description('Enable hosted agent deployment') +param enableHostedAgents bool = false + +// Load abbreviations +var abbrs = loadJsonContent('../../abbreviations.json') + +// Determine which resources to create based on connections +var hasStorageConnection = length(filter(additionalDependentResources, conn => conn.resource == 'storage')) > 0 +var hasAcrConnection = length(filter(additionalDependentResources, conn => conn.resource == 'registry')) > 0 +var hasSearchConnection = length(filter(additionalDependentResources, conn => conn.resource == 'azure_ai_search')) > 0 +var hasBingConnection = length(filter(additionalDependentResources, conn => conn.resource == 'bing_grounding')) > 0 +var hasBingCustomConnection = length(filter(additionalDependentResources, conn => conn.resource == 'bing_custom_grounding')) > 0 + +// Extract connection names from ai.yaml for each resource type +var storageConnectionName = hasStorageConnection ? filter(additionalDependentResources, conn => conn.resource == 'storage')[0].connectionName : '' +var acrConnectionName = hasAcrConnection ? filter(additionalDependentResources, conn => conn.resource == 'registry')[0].connectionName : '' +var searchConnectionName = hasSearchConnection ? filter(additionalDependentResources, conn => conn.resource == 'azure_ai_search')[0].connectionName : '' +var bingConnectionName = hasBingConnection ? filter(additionalDependentResources, conn => conn.resource == 'bing_grounding')[0].connectionName : '' +var bingCustomConnectionName = hasBingCustomConnection ? filter(additionalDependentResources, conn => conn.resource == 'bing_custom_grounding')[0].connectionName : '' + +// Enable monitoring via Log Analytics and Application Insights +module logAnalytics '../monitor/loganalytics.bicep' = if (enableMonitoring) { + name: 'logAnalytics' + params: { + location: location + tags: tags + name: 'logs-${resourceToken}' + } +} + +module applicationInsights '../monitor/applicationinsights.bicep' = if (enableMonitoring) { + name: 'applicationInsights' + params: { + location: location + tags: tags + name: 'appi-${resourceToken}' + logAnalyticsWorkspaceId: logAnalytics.outputs.id + } +} + +// Always create a new AI Account for now (simplified approach) +// TODO: Add support for existing accounts in a future version +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-06-01' = { + name: !empty(existingAiAccountName) ? existingAiAccountName : 'ai-account-${resourceToken}' + location: location + tags: tags + sku: { + name: 'S0' + } + kind: 'AIServices' + identity: { + type: 'SystemAssigned' + } + properties: { + allowProjectManagement: true + customSubDomainName: !empty(existingAiAccountName) ? existingAiAccountName : 'ai-account-${resourceToken}' + networkAcls: { + defaultAction: 'Allow' + virtualNetworkRules: [] + ipRules: [] + } + publicNetworkAccess: 'Enabled' + disableLocalAuth: true + } + + @batchSize(1) + resource seqDeployments 'deployments' = [ + for dep in (deployments??[]): { + name: dep.name + properties: { + model: dep.model + } + sku: dep.sku + } + ] + + resource project 'projects' = { + name: aiFoundryProjectName + location: location + identity: { + type: 'SystemAssigned' + } + properties: { + description: '${aiFoundryProjectName} Project' + displayName: '${aiFoundryProjectName}Project' + } + dependsOn: [ + seqDeployments + ] + } + + resource aiFoundryAccountCapabilityHost 'capabilityHosts@2025-10-01-preview' = if (enableHostedAgents) { + name: 'agents' + properties: { + capabilityHostKind: 'Agents' + // IMPORTANT: this is required to enable hosted agents deployment + // if no BYO Net is provided + enablePublicHostingEnvironment: true + } + } +} + + +// Create connection towards appinsights +resource appInsightConnection 'Microsoft.CognitiveServices/accounts/projects/connections@2025-04-01-preview' = { + parent: aiAccount::project + name: 'appi-connection' + properties: { + category: 'AppInsights' + target: applicationInsights.outputs.id + authType: 'ApiKey' + isSharedToAll: true + credentials: { + key: applicationInsights.outputs.connectionString + } + metadata: { + ApiType: 'Azure' + ResourceId: applicationInsights.outputs.id + } + } +} + +// Create additional connections from ai.yaml configuration +module aiConnections './connection.bicep' = [for (connection, index) in connections: { + name: 'connection-${connection.name}' + params: { + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + connectionConfig: { + name: connection.name + category: connection.category + target: connection.target + authType: connection.authType + } + apiKey: '' // API keys should be provided via secure parameters or Key Vault + } +}] + +resource localUserAiDeveloperRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: resourceGroup() + name: guid(subscription().id, resourceGroup().id, principalId, '64702f94-c441-49e6-a78b-ef80e0188fee') + properties: { + principalId: principalId + principalType: principalType + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', '64702f94-c441-49e6-a78b-ef80e0188fee') + } +} + +resource localUserCognitiveServicesUserRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: resourceGroup() + name: guid(subscription().id, resourceGroup().id, principalId, 'a97b65f3-24c7-4388-baec-2e87135dc908') + properties: { + principalId: principalId + principalType: principalType + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') + } +} + +resource projectCognitiveServicesUserRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: aiAccount + name: guid(subscription().id, resourceGroup().id, aiAccount::project.name, '53ca6127-db72-4b80-b1b0-d745d6d5456d') + properties: { + principalId: aiAccount::project.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', '53ca6127-db72-4b80-b1b0-d745d6d5456d') + } +} + + +// All connections are now created directly within their respective resource modules +// using the centralized ./connection.bicep module + +// Storage module - deploy if storage connection is defined in ai.yaml +module storage '../storage/storage.bicep' = if (hasStorageConnection) { + name: 'storage' + params: { + location: location + tags: tags + resourceName: 'st${resourceToken}' + connectionName: storageConnectionName + principalId: principalId + principalType: principalType + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Azure Container Registry module - deploy if ACR connection is defined in ai.yaml +module acr '../host/acr.bicep' = if (hasAcrConnection) { + name: 'acr' + params: { + location: location + tags: tags + resourceName: '${abbrs.containerRegistryRegistries}${resourceToken}' + connectionName: acrConnectionName + principalId: principalId + principalType: principalType + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Bing Search grounding module - deploy if Bing connection is defined in ai.yaml or parameter is enabled +module bingGrounding '../search/bing_grounding.bicep' = if (hasBingConnection) { + name: 'bing-grounding' + params: { + tags: tags + resourceName: 'bing-${resourceToken}' + connectionName: bingConnectionName + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Bing Custom Search grounding module - deploy if custom Bing connection is defined in ai.yaml or parameter is enabled +module bingCustomGrounding '../search/bing_custom_grounding.bicep' = if (hasBingCustomConnection) { + name: 'bing-custom-grounding' + params: { + tags: tags + resourceName: 'bingcustom-${resourceToken}' + connectionName: bingCustomConnectionName + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Azure AI Search module - deploy if search connection is defined in ai.yaml +module azureAiSearch '../search/azure_ai_search.bicep' = if (hasSearchConnection) { + name: 'azure-ai-search' + params: { + tags: tags + resourceName: 'search-${resourceToken}' + connectionName: searchConnectionName + storageAccountResourceId: hasStorageConnection ? storage!.outputs.storageAccountId : '' + containerName: 'knowledge' + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + principalId: principalId + principalType: principalType + location: location + } +} + + +// Outputs +output AZURE_AI_PROJECT_ENDPOINT string = aiAccount::project.properties.endpoints['AI Foundry API'] +output AZURE_OPENAI_ENDPOINT string = aiAccount.properties.endpoints['OpenAI Language Model Instance API'] +output aiServicesEndpoint string = aiAccount.properties.endpoint +output accountId string = aiAccount.id +output projectId string = aiAccount::project.id +output aiServicesAccountName string = aiAccount.name +output aiServicesProjectName string = aiAccount::project.name +output aiServicesPrincipalId string = aiAccount.identity.principalId +output projectName string = aiAccount::project.name +output APPLICATIONINSIGHTS_CONNECTION_STRING string = applicationInsights.outputs.connectionString + +// Grouped dependent resources outputs +output dependentResources object = { + registry: { + name: hasAcrConnection ? acr!.outputs.containerRegistryName : '' + loginServer: hasAcrConnection ? acr!.outputs.containerRegistryLoginServer : '' + connectionName: hasAcrConnection ? acr!.outputs.containerRegistryConnectionName : '' + } + bing_grounding: { + name: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingName : '' + connectionName: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingConnectionName : '' + connectionId: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingConnectionId : '' + } + bing_custom_grounding: { + name: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingName : '' + connectionName: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingConnectionName : '' + connectionId: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingConnectionId : '' + } + search: { + serviceName: hasSearchConnection ? azureAiSearch!.outputs.searchServiceName : '' + connectionName: hasSearchConnection ? azureAiSearch!.outputs.searchConnectionName : '' + } + storage: { + accountName: hasStorageConnection ? storage!.outputs.storageAccountName : '' + connectionName: hasStorageConnection ? storage!.outputs.storageConnectionName : '' + } +} + +type deploymentsType = { + @description('Specify the name of cognitive service account deployment.') + name: string + + @description('Required. Properties of Cognitive Services account deployment model.') + model: { + @description('Required. The name of Cognitive Services account deployment model.') + name: string + + @description('Required. The format of Cognitive Services account deployment model.') + format: string + + @description('Required. The version of Cognitive Services account deployment model.') + version: string + } + + @description('The resource model definition representing SKU.') + sku: { + @description('Required. The name of the resource model definition representing SKU.') + name: string + + @description('The capacity of the resource model definition representing SKU.') + capacity: int + } +}[]? + +type dependentResourcesType = { + @description('The type of dependent resource to create') + resource: 'storage' | 'registry' | 'azure_ai_search' | 'bing_grounding' | 'bing_custom_grounding' + + @description('The connection name for this resource') + connectionName: string +}[] diff --git a/test-agent-0106/infra/core/ai/connection.bicep b/test-agent-0106/infra/core/ai/connection.bicep new file mode 100644 index 000000000000..38d4e0d632ee --- /dev/null +++ b/test-agent-0106/infra/core/ai/connection.bicep @@ -0,0 +1,68 @@ +targetScope = 'resourceGroup' + +@description('AI Services account name') +param aiServicesAccountName string + +@description('AI project name') +param aiProjectName string + +// Connection configuration type definition +type ConnectionConfig = { + @description('Name of the connection') + name: string + + @description('Category of the connection (e.g., ContainerRegistry, AzureStorageAccount, CognitiveSearch)') + category: string + + @description('Target endpoint or URL for the connection') + target: string + + @description('Authentication type') + authType: 'AAD' | 'AccessKey' | 'AccountKey' | 'ApiKey' | 'CustomKeys' | 'ManagedIdentity' | 'None' | 'OAuth2' | 'PAT' | 'SAS' | 'ServicePrincipal' | 'UsernamePassword' + + @description('Whether the connection is shared to all users (optional, defaults to true)') + isSharedToAll: bool? + + @description('Credentials for non-ApiKey authentication types (optional)') + credentials: object? + + @description('Additional metadata for the connection (optional)') + metadata: object? +} + +@description('Connection configuration') +param connectionConfig ConnectionConfig + +@secure() +@description('API key for ApiKey based connections (optional)') +param apiKey string = '' + + +// Get reference to the AI Services account and project +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = { + name: aiServicesAccountName + + resource project 'projects' existing = { + name: aiProjectName + } +} + +// Create the connection +resource connection 'Microsoft.CognitiveServices/accounts/projects/connections@2025-04-01-preview' = { + parent: aiAccount::project + name: connectionConfig.name + properties: { + category: connectionConfig.category + target: connectionConfig.target + authType: connectionConfig.authType + isSharedToAll: connectionConfig.?isSharedToAll ?? true + credentials: connectionConfig.authType == 'ApiKey' ? { + key: apiKey + } : connectionConfig.?credentials + metadata: connectionConfig.?metadata + } +} + +// Outputs +output connectionName string = connection.name +output connectionId string = connection.id diff --git a/test-agent-0106/infra/core/host/acr.bicep b/test-agent-0106/infra/core/host/acr.bicep new file mode 100644 index 000000000000..be2fcb397f7a --- /dev/null +++ b/test-agent-0106/infra/core/host/acr.bicep @@ -0,0 +1,87 @@ +targetScope = 'resourceGroup' + +@description('The location used for all deployed resources') +param location string = resourceGroup().location + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Resource name for the container registry') +param resourceName string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry ACR connection') +param connectionName string = 'acr-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Create the Container Registry +module containerRegistry 'br/public:avm/res/container-registry/registry:0.1.1' = { + name: 'registry' + params: { + name: resourceName + location: location + tags: tags + publicNetworkAccess: 'Enabled' + roleAssignments:[ + { + principalId: principalId + principalType: principalType + roleDefinitionIdOrName: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7f951dda-4ed3-4680-a7ca-43fe172d538d') + } + // TODO SEPARATELY + { + // the foundry project itself can pull from the ACR + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionIdOrName: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7f951dda-4ed3-4680-a7ca-43fe172d538d') + } + ] + } +} + +// Create the ACR connection using the centralized connection module +module acrConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'acr-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'ContainerRegistry' + target: containerRegistry.outputs.loginServer + authType: 'ManagedIdentity' + credentials: { + clientId: aiAccount::aiProject.identity.principalId + resourceId: containerRegistry.outputs.resourceId + } + isSharedToAll: true + metadata: { + ResourceId: containerRegistry.outputs.resourceId + } + } + } +} + +output containerRegistryName string = containerRegistry.outputs.name +output containerRegistryLoginServer string = containerRegistry.outputs.loginServer +output containerRegistryResourceId string = containerRegistry.outputs.resourceId +output containerRegistryConnectionName string = acrConnection.outputs.connectionName diff --git a/test-agent-0106/infra/core/monitor/applicationinsights-dashboard.bicep b/test-agent-0106/infra/core/monitor/applicationinsights-dashboard.bicep new file mode 100644 index 000000000000..d082e668ed9f --- /dev/null +++ b/test-agent-0106/infra/core/monitor/applicationinsights-dashboard.bicep @@ -0,0 +1,1236 @@ +metadata description = 'Creates a dashboard for an Application Insights instance.' +param name string +param applicationInsightsName string +param location string = resourceGroup().location +param tags object = {} + +// 2020-09-01-preview because that is the latest valid version +resource applicationInsightsDashboard 'Microsoft.Portal/dashboards@2020-09-01-preview' = { + name: name + location: location + tags: tags + properties: { + lenses: [ + { + order: 0 + parts: [ + { + position: { + x: 0 + y: 0 + colSpan: 2 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'id' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AspNetOverviewPinnedPart' + asset: { + idInputName: 'id' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'overview' + } + } + { + position: { + x: 2 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/ProactiveDetectionAsyncPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'ProactiveDetection' + } + } + { + position: { + x: 3 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/QuickPulseButtonSmallPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 4 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-04T01:20:33.345Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AvailabilityNavButtonPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 5 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-08T18:47:35.237Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'ConfigurationId' + value: '78ce933e-e864-4b05-a27b-71fd55a6afad' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AppMapButtonPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 0 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Usage' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 3 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-04T01:22:35.782Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/UsageUsersOverviewPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 4 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Reliability' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 7 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'DataModel' + value: { + version: '1.0.0' + timeContext: { + durationMs: 86400000 + createdTime: '2018-05-04T23:42:40.072Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + isOptional: true + } + { + name: 'ConfigurationId' + value: '8a02f7bf-ac0f-40e1-afe9-f0e72cfee77f' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/CuratedBladeFailuresPinnedPart' + isAdapter: true + asset: { + idInputName: 'ResourceId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'failures' + } + } + { + position: { + x: 8 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Responsiveness\r\n' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 11 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'DataModel' + value: { + version: '1.0.0' + timeContext: { + durationMs: 86400000 + createdTime: '2018-05-04T23:43:37.804Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + isOptional: true + } + { + name: 'ConfigurationId' + value: '2a8ede4f-2bee-4b9c-aed9-2db0e8a01865' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/CuratedBladePerformancePinnedPart' + isAdapter: true + asset: { + idInputName: 'ResourceId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'performance' + } + } + { + position: { + x: 12 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Browser' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 15 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'MetricsExplorerJsonDefinitionId' + value: 'BrowserPerformanceTimelineMetrics' + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + createdTime: '2018-05-08T12:16:27.534Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'CurrentFilter' + value: { + eventTypes: [ + 4 + 1 + 3 + 5 + 2 + 6 + 13 + ] + typeFacets: {} + isPermissive: false + } + } + { + name: 'id' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/MetricsExplorerBladePinnedPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'browser' + } + } + { + position: { + x: 0 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'sessions/count' + aggregationType: 5 + namespace: 'microsoft.insights/components/kusto' + metricVisualization: { + displayName: 'Sessions' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'users/count' + aggregationType: 5 + namespace: 'microsoft.insights/components/kusto' + metricVisualization: { + displayName: 'Users' + color: '#7E58FF' + } + } + ] + title: 'Unique sessions and users' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'segmentationUsers' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'requests/failed' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Failed requests' + color: '#EC008C' + } + } + ] + title: 'Failed requests' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'failures' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'requests/duration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Server response time' + color: '#00BCF2' + } + } + ] + title: 'Server response time' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'performance' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 12 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/networkDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Page load network connect time' + color: '#7E58FF' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/processingDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Client processing time' + color: '#44F1C8' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/sendDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Send request time' + color: '#EB9371' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/receiveDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Receiving response time' + color: '#0672F1' + } + } + ] + title: 'Average page load time breakdown' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 0 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'availabilityResults/availabilityPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Availability' + color: '#47BDF5' + } + } + ] + title: 'Average availability' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'availability' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'exceptions/server' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Server exceptions' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'dependencies/failed' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Dependency failures' + color: '#7E58FF' + } + } + ] + title: 'Server exceptions and Dependency failures' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processorCpuPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Processor time' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processCpuPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Process CPU' + color: '#7E58FF' + } + } + ] + title: 'Average processor and process CPU utilization' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 12 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'exceptions/browser' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Browser exceptions' + color: '#47BDF5' + } + } + ] + title: 'Browser exceptions' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 0 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'availabilityResults/count' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Availability test results count' + color: '#47BDF5' + } + } + ] + title: 'Availability test results count' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processIOBytesPerSecond' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Process IO rate' + color: '#47BDF5' + } + } + ] + title: 'Average process I/O rate' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/memoryAvailableBytes' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Available memory' + color: '#47BDF5' + } + } + ] + title: 'Average available memory' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + ] + } + ] + } +} + +resource applicationInsights 'Microsoft.Insights/components@2020-02-02' existing = { + name: applicationInsightsName +} diff --git a/test-agent-0106/infra/core/monitor/applicationinsights.bicep b/test-agent-0106/infra/core/monitor/applicationinsights.bicep new file mode 100644 index 000000000000..850e9fe12264 --- /dev/null +++ b/test-agent-0106/infra/core/monitor/applicationinsights.bicep @@ -0,0 +1,31 @@ +metadata description = 'Creates an Application Insights instance based on an existing Log Analytics workspace.' +param name string +param dashboardName string = '' +param location string = resourceGroup().location +param tags object = {} +param logAnalyticsWorkspaceId string + +resource applicationInsights 'Microsoft.Insights/components@2020-02-02' = { + name: name + location: location + tags: tags + kind: 'web' + properties: { + Application_Type: 'web' + WorkspaceResourceId: logAnalyticsWorkspaceId + } +} + +module applicationInsightsDashboard 'applicationinsights-dashboard.bicep' = if (!empty(dashboardName)) { + name: 'application-insights-dashboard' + params: { + name: dashboardName + location: location + applicationInsightsName: applicationInsights.name + } +} + +output connectionString string = applicationInsights.properties.ConnectionString +output id string = applicationInsights.id +output instrumentationKey string = applicationInsights.properties.InstrumentationKey +output name string = applicationInsights.name diff --git a/test-agent-0106/infra/core/monitor/loganalytics.bicep b/test-agent-0106/infra/core/monitor/loganalytics.bicep new file mode 100644 index 000000000000..33f9dc29443a --- /dev/null +++ b/test-agent-0106/infra/core/monitor/loganalytics.bicep @@ -0,0 +1,22 @@ +metadata description = 'Creates a Log Analytics workspace.' +param name string +param location string = resourceGroup().location +param tags object = {} + +resource logAnalytics 'Microsoft.OperationalInsights/workspaces@2021-12-01-preview' = { + name: name + location: location + tags: tags + properties: any({ + retentionInDays: 30 + features: { + searchVersion: 1 + } + sku: { + name: 'PerGB2018' + } + }) +} + +output id string = logAnalytics.id +output name string = logAnalytics.name diff --git a/test-agent-0106/infra/core/search/azure_ai_search.bicep b/test-agent-0106/infra/core/search/azure_ai_search.bicep new file mode 100644 index 000000000000..0abbed6cd96c --- /dev/null +++ b/test-agent-0106/infra/core/search/azure_ai_search.bicep @@ -0,0 +1,211 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Azure Search resource name') +param resourceName string + +@description('Azure Search SKU name') +param azureSearchSkuName string = 'basic' + +@description('Azure storage account resource ID') +param storageAccountResourceId string + +@description('container name') +param containerName string = 'knowledgebase' + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Name for the AI Foundry search connection') +param connectionName string = 'azure-ai-search-connection' + +@description('Location for all resources') +param location string = resourceGroup().location + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Azure Search Service +resource searchService 'Microsoft.Search/searchServices@2024-06-01-preview' = { + name: resourceName + location: location + tags: tags + sku: { + name: azureSearchSkuName + } + identity: { + type: 'SystemAssigned' + } + properties: { + replicaCount: 1 + partitionCount: 1 + hostingMode: 'default' + authOptions: { + aadOrApiKey: { + aadAuthFailureMode: 'http401WithBearerChallenge' + } + } + disableLocalAuth: false + encryptionWithCmk: { + enforcement: 'Unspecified' + } + publicNetworkAccess: 'enabled' + } +} + +// Reference to existing Storage Account +resource storageAccount 'Microsoft.Storage/storageAccounts@2023-05-01' existing = { + name: last(split(storageAccountResourceId, '/')) +} + +// Reference to existing Blob Service +resource blobService 'Microsoft.Storage/storageAccounts/blobServices@2023-05-01' existing = { + parent: storageAccount + name: 'default' +} + +// Storage Container (create if it doesn't exist) +resource storageContainer 'Microsoft.Storage/storageAccounts/blobServices/containers@2023-05-01' = { + parent: blobService + name: containerName + properties: { + publicAccess: 'None' + } +} + +// RBAC Assignments + +// Search needs to read from Storage +resource searchToStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(storageAccount.id, searchService.id, 'Storage Blob Data Reader', uniqueString(deployment().name)) + scope: storageAccount + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '2a2b9908-6ea1-4ae2-8e65-a410df84e7d1') // Storage Blob Data Reader + principalId: searchService.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// Search needs OpenAI access (AI Services account) +resource searchToAIServicesRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName)) { + name: guid(aiServicesAccountName, searchService.id, 'Cognitive Services OpenAI User', uniqueString(deployment().name)) + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '5e0bd9bd-7b93-4f28-af87-19fc36ad61bd') // Cognitive Services OpenAI User + principalId: searchService.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// AI Project needs Search access - Service Contributor +resource aiServicesToSearchServiceRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(searchService.id, aiServicesAccountName, aiProjectName, 'Search Service Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7ca78c08-252a-4471-8644-bb5ff32d4ba0') // Search Service Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// AI Project needs Search access - Index Data Contributor +resource aiServicesToSearchDataRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(searchService.id, aiServicesAccountName, aiProjectName, 'Search Index Data Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// User permissions - Search Index Data Contributor +resource userToSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(searchService.id, principalId, 'Search Index Data Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor + principalId: principalId + principalType: principalType + } +} + +// // User permissions - Storage Blob Data Contributor +// resource userToStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { +// name: guid(storageAccount.id, principalId, 'Storage Blob Data Contributor', uniqueString(deployment().name)) +// scope: storageAccount +// properties: { +// roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor +// principalId: principalId +// principalType: principalType +// } +// } + +// // Project needs Search access - Index Data Contributor +// resource projectToSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { +// name: guid(searchService.id, aiProjectName, 'Search Index Data Contributor', uniqueString(deployment().name)) +// scope: searchService +// properties: { +// roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor +// principalId: aiAccountPrincipalId // Using AI account principal ID as project identity +// principalType: 'ServicePrincipal' +// } +// } + +// Create the AI Search connection using the centralized connection module +module aiSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'ai-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'CognitiveSearch' + target: 'https://${searchService.name}.search.windows.net' + authType: 'AAD' + isSharedToAll: true + metadata: { + ApiVersion: '2024-07-01' + ResourceId: searchService.id + ApiType: 'Azure' + type: 'azure_ai_search' + } + } + } + dependsOn: [ + aiServicesToSearchDataRoleAssignment + ] +} + +// Outputs +output searchServiceName string = searchService.name +output searchServiceId string = searchService.id +output searchServicePrincipalId string = searchService.identity.principalId +output storageAccountName string = storageAccount.name +output storageAccountId string = storageAccount.id +output containerName string = storageContainer.name +output storageAccountPrincipalId string = storageAccount.identity.principalId +output searchConnectionName string = (!empty(aiServicesAccountName) && !empty(aiProjectName)) ? aiSearchConnection!.outputs.connectionName : '' +output searchConnectionId string = (!empty(aiServicesAccountName) && !empty(aiProjectName)) ? aiSearchConnection!.outputs.connectionId : '' + diff --git a/test-agent-0106/infra/core/search/bing_custom_grounding.bicep b/test-agent-0106/infra/core/search/bing_custom_grounding.bicep new file mode 100644 index 000000000000..a811a475ed7a --- /dev/null +++ b/test-agent-0106/infra/core/search/bing_custom_grounding.bicep @@ -0,0 +1,82 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Bing custom grounding resource name') +param resourceName string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry Bing Custom Search connection') +param connectionName string = 'bing-custom-grounding-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Bing Search resource for grounding capability +resource bingCustomSearch 'Microsoft.Bing/accounts@2020-06-10' = { + name: resourceName + location: 'global' + tags: tags + sku: { + name: 'G1' + } + properties: { + statisticsEnabled: false + } + kind: 'Bing.CustomGrounding' +} + +// Role assignment to allow AI project to use Bing Search +resource bingCustomSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + scope: bingCustomSearch + name: guid(subscription().id, resourceGroup().id, 'bing-search-role', aiServicesAccountName, aiProjectName) + properties: { + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') // Cognitive Services User + } +} + +// Create the Bing Custom Search connection using the centralized connection module +module aiSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'bing-custom-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'GroundingWithCustomSearch' + target: bingCustomSearch.properties.endpoint + authType: 'ApiKey' + isSharedToAll: true + metadata: { + Location: 'global' + ResourceId: bingCustomSearch.id + ApiType: 'Azure' + type: 'bing_custom_search' + } + } + apiKey: bingCustomSearch.listKeys().key1 + } + dependsOn: [ + bingCustomSearchRoleAssignment + ] +} + +// Outputs +output bingCustomGroundingName string = bingCustomSearch.name +output bingCustomGroundingConnectionName string = aiSearchConnection.outputs.connectionName +output bingCustomGroundingResourceId string = bingCustomSearch.id +output bingCustomGroundingConnectionId string = aiSearchConnection.outputs.connectionId diff --git a/test-agent-0106/infra/core/search/bing_grounding.bicep b/test-agent-0106/infra/core/search/bing_grounding.bicep new file mode 100644 index 000000000000..e7d7e7062956 --- /dev/null +++ b/test-agent-0106/infra/core/search/bing_grounding.bicep @@ -0,0 +1,81 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Bing grounding resource name') +param resourceName string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry Bing Search connection') +param connectionName string = 'bing-grounding-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Bing Search resource for grounding capability +resource bingSearch 'Microsoft.Bing/accounts@2020-06-10' = { + name: resourceName + location: 'global' + tags: tags + sku: { + name: 'G1' + } + properties: { + statisticsEnabled: false + } + kind: 'Bing.Grounding' +} + +// Role assignment to allow AI project to use Bing Search +resource bingSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + scope: bingSearch + name: guid(subscription().id, resourceGroup().id, 'bing-search-role', aiServicesAccountName, aiProjectName) + properties: { + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') // Cognitive Services User + } +} + +// Create the Bing Search connection using the centralized connection module +module bingSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'bing-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'GroundingWithBingSearch' + target: bingSearch.properties.endpoint + authType: 'ApiKey' + isSharedToAll: true + metadata: { + Location: 'global' + ResourceId: bingSearch.id + ApiType: 'Azure' + type: 'bing_grounding' + } + } + apiKey: bingSearch.listKeys().key1 + } + dependsOn: [ + bingSearchRoleAssignment + ] +} + +output bingGroundingName string = bingSearch.name +output bingGroundingConnectionName string = bingSearchConnection.outputs.connectionName +output bingGroundingResourceId string = bingSearch.id +output bingGroundingConnectionId string = bingSearchConnection.outputs.connectionId diff --git a/test-agent-0106/infra/core/storage/storage.bicep b/test-agent-0106/infra/core/storage/storage.bicep new file mode 100644 index 000000000000..d30b2ccf3531 --- /dev/null +++ b/test-agent-0106/infra/core/storage/storage.bicep @@ -0,0 +1,113 @@ +targetScope = 'resourceGroup' + +@description('The location used for all deployed resources') +param location string = resourceGroup().location + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Storage account resource name') +param resourceName string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry storage connection') +param connectionName string = 'storage-connection' + +// Storage Account for the AI Services account +resource storageAccount 'Microsoft.Storage/storageAccounts@2023-05-01' = { + name: resourceName + location: location + tags: tags + sku: { + name: 'Standard_LRS' + } + kind: 'StorageV2' + identity: { + type: 'SystemAssigned' + } + properties: { + supportsHttpsTrafficOnly: true + allowBlobPublicAccess: false + minimumTlsVersion: 'TLS1_2' + accessTier: 'Hot' + encryption: { + services: { + blob: { + enabled: true + } + file: { + enabled: true + } + } + keySource: 'Microsoft.Storage' + } + } +} + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Role assignment for AI Services to access the storage account +resource storageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(storageAccount.id, aiAccount.id, 'ai-storage-contributor') + scope: storageAccount + properties: { + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// User permissions - Storage Blob Data Contributor +resource userStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(storageAccount.id, principalId, 'Storage Blob Data Contributor') + scope: storageAccount + properties: { + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor + principalId: principalId + principalType: principalType + } +} + +// Create the storage connection using the centralized connection module +module storageConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'storage-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'AzureStorageAccount' + target: storageAccount.properties.primaryEndpoints.blob + authType: 'AAD' + isSharedToAll: true + metadata: { + ApiType: 'Azure' + ResourceId: storageAccount.id + location: storageAccount.location + } + } + } +} + +output storageAccountName string = storageAccount.name +output storageAccountId string = storageAccount.id +output storageAccountPrincipalId string = storageAccount.identity.principalId +output storageConnectionName string = storageConnection.outputs.connectionName diff --git a/test-agent-0106/infra/main.bicep b/test-agent-0106/infra/main.bicep new file mode 100644 index 000000000000..186494c2b1ef --- /dev/null +++ b/test-agent-0106/infra/main.bicep @@ -0,0 +1,168 @@ +targetScope = 'subscription' +// targetScope = 'resourceGroup' + +@minLength(1) +@maxLength(64) +@description('Name of the environment that can be used as part of naming resource convention') +param environmentName string + +@minLength(1) +@maxLength(90) +@description('Name of the resource group to use or create') +param resourceGroupName string = 'rg-${environmentName}' + +// Restricted locations to match list from +// https://learn.microsoft.com/en-us/azure/ai-foundry/openai/how-to/responses?tabs=python-key#region-availability +@minLength(1) +@description('Primary location for all resources') +@allowed([ + 'australiaeast' + 'brazilsouth' + 'canadacentral' + 'canadaeast' + 'eastus' + 'eastus2' + 'francecentral' + 'germanywestcentral' + 'italynorth' + 'japaneast' + 'koreacentral' + 'northcentralus' + 'norwayeast' + 'polandcentral' + 'southafricanorth' + 'southcentralus' + 'southeastasia' + 'southindia' + 'spaincentral' + 'swedencentral' + 'switzerlandnorth' + 'uaenorth' + 'uksouth' + 'westus' + 'westus2' + 'westus3' +]) +param location string + +@metadata({azd: { + type: 'location' + usageName: [ + 'OpenAI.GlobalStandard.gpt-4o-mini,10' + ]} +}) +param aiDeploymentsLocation string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Optional. Name of an existing AI Services account within the resource group. If not provided, a new one will be created.') +param aiFoundryResourceName string = '' + +@description('Optional. Name of the AI Foundry project. If not provided, a default name will be used.') +param aiFoundryProjectName string = 'ai-project-${environmentName}' + +@description('List of model deployments') +param aiProjectDeploymentsJson string = '[]' + +@description('List of connections') +param aiProjectConnectionsJson string = '[]' + +@description('List of resources to create and connect to the AI project') +param aiProjectDependentResourcesJson string = '[]' + +var aiProjectDeployments = json(aiProjectDeploymentsJson) +var aiProjectConnections = json(aiProjectConnectionsJson) +var aiProjectDependentResources = json(aiProjectDependentResourcesJson) + +@description('Enable hosted agent deployment') +param enableHostedAgents bool + +@description('Enable monitoring for the AI project') +param enableMonitoring bool = true + +// Tags that should be applied to all resources. +// +// Note that 'azd-service-name' tags should be applied separately to service host resources. +// Example usage: +// tags: union(tags, { 'azd-service-name': }) +var tags = { + 'azd-env-name': environmentName +} + +// Check if resource group exists and create it if it doesn't +resource rg 'Microsoft.Resources/resourceGroups@2021-04-01' = { + name: resourceGroupName + location: location + tags: tags +} + +// Build dependent resources array conditionally +// Check if ACR already exists in the user-provided array to avoid duplicates +var hasAcr = contains(map(aiProjectDependentResources, r => r.resource), 'registry') +var dependentResources = (enableHostedAgents) && !hasAcr ? union(aiProjectDependentResources, [ + { + resource: 'registry' + connectionName: 'acr-connection' + } +]) : aiProjectDependentResources + +// AI Project module +module aiProject 'core/ai/ai-project.bicep' = { + scope: rg + name: 'ai-project' + params: { + tags: tags + location: aiDeploymentsLocation + aiFoundryProjectName: aiFoundryProjectName + principalId: principalId + principalType: principalType + existingAiAccountName: aiFoundryResourceName + deployments: aiProjectDeployments + connections: aiProjectConnections + additionalDependentResources: dependentResources + enableMonitoring: enableMonitoring + enableHostedAgents: enableHostedAgents + } +} + +// Resources +output AZURE_RESOURCE_GROUP string = resourceGroupName +output AZURE_AI_ACCOUNT_ID string = aiProject.outputs.accountId +output AZURE_AI_PROJECT_ID string = aiProject.outputs.projectId +output AZURE_AI_FOUNDRY_PROJECT_ID string = aiProject.outputs.projectId +output AZURE_AI_ACCOUNT_NAME string = aiProject.outputs.aiServicesAccountName +output AZURE_AI_PROJECT_NAME string = aiProject.outputs.projectName + +// Endpoints +output AZURE_AI_PROJECT_ENDPOINT string = aiProject.outputs.AZURE_AI_PROJECT_ENDPOINT +output AZURE_OPENAI_ENDPOINT string = aiProject.outputs.AZURE_OPENAI_ENDPOINT +output APPLICATIONINSIGHTS_CONNECTION_STRING string = aiProject.outputs.APPLICATIONINSIGHTS_CONNECTION_STRING + +// Dependent Resources and Connections + +// ACR +output AZURE_AI_PROJECT_ACR_CONNECTION_NAME string = aiProject.outputs.dependentResources.registry.connectionName +output AZURE_CONTAINER_REGISTRY_ENDPOINT string = aiProject.outputs.dependentResources.registry.loginServer + +// Bing Search +output BING_GROUNDING_CONNECTION_NAME string = aiProject.outputs.dependentResources.bing_grounding.connectionName +output BING_GROUNDING_RESOURCE_NAME string = aiProject.outputs.dependentResources.bing_grounding.name +output BING_GROUNDING_CONNECTION_ID string = aiProject.outputs.dependentResources.bing_grounding.connectionId + +// Bing Custom Search +output BING_CUSTOM_GROUNDING_CONNECTION_NAME string = aiProject.outputs.dependentResources.bing_custom_grounding.connectionName +output BING_CUSTOM_GROUNDING_NAME string = aiProject.outputs.dependentResources.bing_custom_grounding.name +output BING_CUSTOM_GROUNDING_CONNECTION_ID string = aiProject.outputs.dependentResources.bing_custom_grounding.connectionId + +// Azure AI Search +output AZURE_AI_SEARCH_CONNECTION_NAME string = aiProject.outputs.dependentResources.search.connectionName +output AZURE_AI_SEARCH_SERVICE_NAME string = aiProject.outputs.dependentResources.search.serviceName + +// Azure Storage +output AZURE_STORAGE_CONNECTION_NAME string = aiProject.outputs.dependentResources.storage.connectionName +output AZURE_STORAGE_ACCOUNT_NAME string = aiProject.outputs.dependentResources.storage.accountName + diff --git a/test-agent-0106/infra/main.parameters.json b/test-agent-0106/infra/main.parameters.json new file mode 100644 index 000000000000..6b7f0db1f3df --- /dev/null +++ b/test-agent-0106/infra/main.parameters.json @@ -0,0 +1,45 @@ +{ + "$schema": "https://schema.management.azure.com/schemas/2019-04-01/deploymentParameters.json#", + "contentVersion": "1.0.0.0", + "parameters": { + "resourceGroupName": { + "value": "${AZURE_RESOURCE_GROUP}" + }, + "environmentName": { + "value": "${AZURE_ENV_NAME}" + }, + "location": { + "value": "${AZURE_LOCATION}" + }, + "aiFoundryResourceName": { + "value": "${AZURE_AI_ACCOUNT_NAME}" + }, + "aiFoundryProjectName": { + "value": "${AZURE_AI_PROJECT_NAME}" + }, + "aiDeploymentsLocation": { + "value": "${AZURE_LOCATION}" + }, + "principalId": { + "value": "${AZURE_PRINCIPAL_ID}" + }, + "principalType": { + "value": "${AZURE_PRINCIPAL_TYPE}" + }, + "aiProjectDeploymentsJson": { + "value": "${AI_PROJECT_DEPLOYMENTS=[]}" + }, + "aiProjectConnectionsJson": { + "value": "${AI_PROJECT_CONNECTIONS=[]}" + }, + "aiProjectDependentResourcesJson": { + "value": "${AI_PROJECT_DEPENDENT_RESOURCES=[]}" + }, + "enableMonitoring": { + "value": "${ENABLE_MONITORING=true}" + }, + "enableHostedAgents": { + "value": "${ENABLE_HOSTED_AGENTS=false}" + } + } +} diff --git a/test-agent/.github/CODE_OF_CONDUCT.md b/test-agent/.github/CODE_OF_CONDUCT.md new file mode 100644 index 000000000000..f9ba8cf65f3e --- /dev/null +++ b/test-agent/.github/CODE_OF_CONDUCT.md @@ -0,0 +1,9 @@ +# Microsoft Open Source Code of Conduct + +This project has adopted the [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/). + +Resources: + +- [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/) +- [Microsoft Code of Conduct FAQ](https://opensource.microsoft.com/codeofconduct/faq/) +- Contact [opencode@microsoft.com](mailto:opencode@microsoft.com) with questions or concerns diff --git a/test-agent/.github/ISSUE_TEMPLATE.md b/test-agent/.github/ISSUE_TEMPLATE.md new file mode 100644 index 000000000000..15c7f6022862 --- /dev/null +++ b/test-agent/.github/ISSUE_TEMPLATE.md @@ -0,0 +1,33 @@ + +> Please provide us with the following information: +> --------------------------------------------------------------- + +### This issue is for a: (mark with an `x`) +``` +- [ ] bug report -> please search issues before submitting +- [ ] feature request +- [ ] documentation issue or request +- [ ] regression (a behavior that used to work and stopped in a new release) +``` + +### Minimal steps to reproduce +> + +### Any log messages given by the failure +> + +### Expected/desired behavior +> + +### OS and Version? +> Windows 7, 8 or 10. Linux (which distribution). macOS (Yosemite? El Capitan? Sierra?) + +### Versions +> + +### Mention any other details that might be useful + +> --------------------------------------------------------------- +> Thanks! We'll be in touch soon. diff --git a/test-agent/.github/PULL_REQUEST_TEMPLATE.md b/test-agent/.github/PULL_REQUEST_TEMPLATE.md new file mode 100644 index 000000000000..ab05e292b7fc --- /dev/null +++ b/test-agent/.github/PULL_REQUEST_TEMPLATE.md @@ -0,0 +1,45 @@ +## Purpose + +* ... + +## Does this introduce a breaking change? + +``` +[ ] Yes +[ ] No +``` + +## Pull Request Type +What kind of change does this Pull Request introduce? + + +``` +[ ] Bugfix +[ ] Feature +[ ] Code style update (formatting, local variables) +[ ] Refactoring (no functional changes, no api changes) +[ ] Documentation content changes +[ ] Other... Please describe: +``` + +## How to Test +* Get the code + +``` +git clone [repo-address] +cd [repo-name] +git checkout [branch-name] +npm install +``` + +* Test the code + +``` +``` + +## What to Check +Verify that the following are valid +* ... + +## Other Information + \ No newline at end of file diff --git a/test-agent/.gitignore b/test-agent/.gitignore new file mode 100644 index 000000000000..ea567ea35921 --- /dev/null +++ b/test-agent/.gitignore @@ -0,0 +1,419 @@ +## Ignore Visual Studio temporary files, build results, and +## files generated by popular Visual Studio add-ons. +## +## Get latest from https://github.com/github/gitignore/blob/main/VisualStudio.gitignore + +# User-specific files +*.rsuser +*.suo +*.user +*.userosscache +*.sln.docstates +*.env + +# User-specific files (MonoDevelop/Xamarin Studio) +*.userprefs + +# Mono auto generated files +mono_crash.* + +# Build results +[Dd]ebug/ +[Dd]ebugPublic/ +[Rr]elease/ +[Rr]eleases/ +x64/ +x86/ +[Ww][Ii][Nn]32/ +[Aa][Rr][Mm]/ +[Aa][Rr][Mm]64/ +[Aa][Rr][Mm]64[Ee][Cc]/ +bld/ +[Oo]bj/ +[Oo]ut/ +[Ll]og/ +[Ll]ogs/ + +# Build results on 'Bin' directories +**/[Bb]in/* +# Uncomment if you have tasks that rely on *.refresh files to move binaries +# (https://github.com/github/gitignore/pull/3736) +#!**/[Bb]in/*.refresh + +# Visual Studio 2015/2017 cache/options directory +.vs/ +# Uncomment if you have tasks that create the project's static files in wwwroot +#wwwroot/ + +# Visual Studio 2017 auto generated files +Generated\ Files/ + +# MSTest test Results +[Tt]est[Rr]esult*/ +[Bb]uild[Ll]og.* +*.trx + +# NUnit +*.VisualState.xml +TestResult.xml +nunit-*.xml + +# Approval Tests result files +*.received.* + +# Build Results of an ATL Project +[Dd]ebugPS/ +[Rr]eleasePS/ +dlldata.c + +# Benchmark Results +BenchmarkDotNet.Artifacts/ + +# .NET Core +project.lock.json +project.fragment.lock.json +artifacts/ + +# ASP.NET Scaffolding +ScaffoldingReadMe.txt + +# StyleCop +StyleCopReport.xml + +# Files built by Visual Studio +*_i.c +*_p.c +*_h.h +*.ilk +*.meta +*.obj +*.idb +*.iobj +*.pch +*.pdb +*.ipdb +*.pgc +*.pgd +*.rsp +# but not Directory.Build.rsp, as it configures directory-level build defaults +!Directory.Build.rsp +*.sbr +*.tlb +*.tli +*.tlh +*.tmp +*.tmp_proj +*_wpftmp.csproj +*.log +*.tlog +*.vspscc +*.vssscc +.builds +*.pidb +*.svclog +*.scc + +# Chutzpah Test files +_Chutzpah* + +# Visual C++ cache files +ipch/ +*.aps +*.ncb +*.opendb +*.opensdf +*.sdf +*.cachefile +*.VC.db +*.VC.VC.opendb + +# Visual Studio profiler +*.psess +*.vsp +*.vspx +*.sap + +# Visual Studio Trace Files +*.e2e + +# TFS 2012 Local Workspace +$tf/ + +# Guidance Automation Toolkit +*.gpState + +# ReSharper is a .NET coding add-in +_ReSharper*/ +*.[Rr]e[Ss]harper +*.DotSettings.user + +# TeamCity is a build add-in +_TeamCity* + +# DotCover is a Code Coverage Tool +*.dotCover + +# AxoCover is a Code Coverage Tool +.axoCover/* +!.axoCover/settings.json + +# Coverlet is a free, cross platform Code Coverage Tool +coverage*.json +coverage*.xml +coverage*.info + +# Visual Studio code coverage results +*.coverage +*.coveragexml + +# NCrunch +_NCrunch_* +.NCrunch_* +.*crunch*.local.xml +nCrunchTemp_* + +# MightyMoose +*.mm.* +AutoTest.Net/ + +# Web workbench (sass) +.sass-cache/ + +# Installshield output folder +[Ee]xpress/ + +# DocProject is a documentation generator add-in +DocProject/buildhelp/ +DocProject/Help/*.HxT +DocProject/Help/*.HxC +DocProject/Help/*.hhc +DocProject/Help/*.hhk +DocProject/Help/*.hhp +DocProject/Help/Html2 +DocProject/Help/html + +# Click-Once directory +publish/ + +# Publish Web Output +*.[Pp]ublish.xml +*.azurePubxml +# Note: Comment the next line if you want to checkin your web deploy settings, +# but database connection strings (with potential passwords) will be unencrypted +*.pubxml +*.publishproj + +# Microsoft Azure Web App publish settings. Comment the next line if you want to +# checkin your Azure Web App publish settings, but sensitive information contained +# in these scripts will be unencrypted +PublishScripts/ + +# NuGet Packages +*.nupkg +# NuGet Symbol Packages +*.snupkg +# The packages folder can be ignored because of Package Restore +**/[Pp]ackages/* +# except build/, which is used as an MSBuild target. +!**/[Pp]ackages/build/ +# Uncomment if necessary however generally it will be regenerated when needed +#!**/[Pp]ackages/repositories.config +# NuGet v3's project.json files produces more ignorable files +*.nuget.props +*.nuget.targets + +# Microsoft Azure Build Output +csx/ +*.build.csdef + +# Microsoft Azure Emulator +ecf/ +rcf/ + +# Windows Store app package directories and files +AppPackages/ +BundleArtifacts/ +Package.StoreAssociation.xml +_pkginfo.txt +*.appx +*.appxbundle +*.appxupload + +# Visual Studio cache files +# files ending in .cache can be ignored +*.[Cc]ache +# but keep track of directories ending in .cache +!?*.[Cc]ache/ + +# Others +ClientBin/ +~$* +*~ +*.dbmdl +*.dbproj.schemaview +*.jfm +*.pfx +*.publishsettings +orleans.codegen.cs + +# Including strong name files can present a security risk +# (https://github.com/github/gitignore/pull/2483#issue-259490424) +#*.snk + +# Since there are multiple workflows, uncomment next line to ignore bower_components +# (https://github.com/github/gitignore/pull/1529#issuecomment-104372622) +#bower_components/ + +# RIA/Silverlight projects +Generated_Code/ + +# Backup & report files from converting an old project file +# to a newer Visual Studio version. Backup files are not needed, +# because we have git ;-) +_UpgradeReport_Files/ +Backup*/ +UpgradeLog*.XML +UpgradeLog*.htm +ServiceFabricBackup/ +*.rptproj.bak + +# SQL Server files +*.mdf +*.ldf +*.ndf + +# Business Intelligence projects +*.rdl.data +*.bim.layout +*.bim_*.settings +*.rptproj.rsuser +*- [Bb]ackup.rdl +*- [Bb]ackup ([0-9]).rdl +*- [Bb]ackup ([0-9][0-9]).rdl + +# Microsoft Fakes +FakesAssemblies/ + +# GhostDoc plugin setting file +*.GhostDoc.xml + +# Node.js Tools for Visual Studio +.ntvs_analysis.dat +node_modules/ + +# Visual Studio 6 build log +*.plg + +# Visual Studio 6 workspace options file +*.opt + +# Visual Studio 6 auto-generated workspace file (contains which files were open etc.) +*.vbw + +# Visual Studio 6 auto-generated project file (contains which files were open etc.) +*.vbp + +# Visual Studio 6 workspace and project file (working project files containing files to include in project) +*.dsw +*.dsp + +# Visual Studio 6 technical files +*.ncb +*.aps + +# Visual Studio LightSwitch build output +**/*.HTMLClient/GeneratedArtifacts +**/*.DesktopClient/GeneratedArtifacts +**/*.DesktopClient/ModelManifest.xml +**/*.Server/GeneratedArtifacts +**/*.Server/ModelManifest.xml +_Pvt_Extensions + +# Paket dependency manager +**/.paket/paket.exe +paket-files/ + +# FAKE - F# Make +**/.fake/ + +# CodeRush personal settings +**/.cr/personal + +# Python Tools for Visual Studio (PTVS) +**/__pycache__/ +*.pyc + +# Cake - Uncomment if you are using it +#tools/** +#!tools/packages.config + +# Tabs Studio +*.tss + +# Telerik's JustMock configuration file +*.jmconfig + +# BizTalk build output +*.btp.cs +*.btm.cs +*.odx.cs +*.xsd.cs + +# OpenCover UI analysis results +OpenCover/ + +# Azure Stream Analytics local run output +ASALocalRun/ + +# MSBuild Binary and Structured Log +*.binlog +MSBuild_Logs/ + +# AWS SAM Build and Temporary Artifacts folder +.aws-sam + +# NVidia Nsight GPU debugger configuration file +*.nvuser + +# MFractors (Xamarin productivity tool) working folder +**/.mfractor/ + +# Local History for Visual Studio +**/.localhistory/ + +# Visual Studio History (VSHistory) files +.vshistory/ + +# BeatPulse healthcheck temp database +healthchecksdb + +# Backup folder for Package Reference Convert tool in Visual Studio 2017 +MigrationBackup/ + +# Ionide (cross platform F# VS Code tools) working folder +**/.ionide/ + +# Fody - auto-generated XML schema +FodyWeavers.xsd + +# VS Code files for those working on multiple tools +.vscode/* +!.vscode/settings.json +!.vscode/tasks.json +!.vscode/launch.json +!.vscode/extensions.json +!.vscode/*.code-snippets + +# Local History for Visual Studio Code +.history/ + +# Built Visual Studio Code Extensions +*.vsix + +# Windows Installer files from build outputs +*.cab +*.msi +*.msix +*.msm +*.msp +.azure diff --git a/test-agent/CHANGELOG.md b/test-agent/CHANGELOG.md new file mode 100644 index 000000000000..982475272da7 --- /dev/null +++ b/test-agent/CHANGELOG.md @@ -0,0 +1,13 @@ +## [project-title] Changelog + + +# x.y.z (yyyy-mm-dd) + +*Features* +* ... + +*Bug Fixes* +* ... + +*Breaking Changes* +* ... diff --git a/test-agent/CONTRIBUTING.md b/test-agent/CONTRIBUTING.md new file mode 100644 index 000000000000..61f05ea3b3b9 --- /dev/null +++ b/test-agent/CONTRIBUTING.md @@ -0,0 +1,76 @@ +# Contributing to Azure AI Foundry `azd` starter kit + +This project welcomes contributions and suggestions. Most contributions require you to agree to a +Contributor License Agreement (CLA) declaring that you have the right to, and actually do, grant us +the rights to use your contribution. For details, visit https://cla.opensource.microsoft.com. + +When you submit a pull request, a CLA bot will automatically determine whether you need to provide +a CLA and decorate the PR appropriately (e.g., status check, comment). Simply follow the instructions +provided by the bot. You will only need to do this once across all repos using our CLA. + +This project has adopted the [Microsoft Open Source Code of Conduct](https://opensource.microsoft.com/codeofconduct/). +For more information see the [Code of Conduct FAQ](https://opensource.microsoft.com/codeofconduct/faq/) or +contact [opencode@microsoft.com](mailto:opencode@microsoft.com) with any additional questions or comments. + + - [Code of Conduct](#coc) + - [Issues and Bugs](#issue) + - [Feature Requests](#feature) + - [Submission Guidelines](#submit) + +## Code of Conduct +Help us keep this project open and inclusive. Please read and follow our [Code of Conduct](https://opensource.microsoft.com/codeofconduct/). + +## Found an Issue? +If you find a bug in the source code or a mistake in the documentation, you can help us by +[submitting an issue](#submit-issue) to the GitHub Repository. Even better, you can +[submit a Pull Request](#submit-pr) with a fix. + +## Want a Feature? +You can *request* a new feature by [submitting an issue](#submit-issue) to the GitHub +Repository. If you would like to *implement* a new feature, please submit an issue with +a proposal for your work first, to be sure that we can use it. + +* **Small Features** can be crafted and directly [submitted as a Pull Request](#submit-pr). + +## Submission Guidelines + +### Submitting an Issue +Before you submit an issue, search the archive, maybe your question was already answered. + +If your issue appears to be a bug, and hasn't been reported, open a new issue. +Help us to maximize the effort we can spend fixing issues and adding new +features, by not reporting duplicate issues. Providing the following information will increase the +chances of your issue being dealt with quickly: + +* **Overview of the Issue** - if an error is being thrown a non-minified stack trace helps +* **Version** - what version is affected (e.g. 0.1.2) +* **Motivation for or Use Case** - explain what are you trying to do and why the current behavior is a bug for you +* **Browsers and Operating System** - is this a problem with all browsers? +* **Reproduce the Error** - provide a live example or a unambiguous set of steps +* **Related Issues** - has a similar issue been reported before? +* **Suggest a Fix** - if you can't fix the bug yourself, perhaps you can point to what might be + causing the problem (line of code or commit) + +You can file new issues by providing the above information at the corresponding repository's issues link: https://github.com/[organization-name]/[repository-name]/issues/new]. + +### Submitting a Pull Request (PR) +Before you submit your Pull Request (PR) consider the following guidelines: + +* Search the repository (https://github.com/[organization-name]/[repository-name]/pulls) for an open or closed PR + that relates to your submission. You don't want to duplicate effort. + +* Make your changes in a new git fork: + +* Commit your changes using a descriptive commit message +* Push your fork to GitHub: +* In GitHub, create a pull request +* If we suggest changes then: + * Make the required updates. + * Rebase your fork and force push to your GitHub repository (this will update your Pull Request): + + ```shell + git rebase master -i + git push -f + ``` + +That's it! Thank you for your contribution! \ No newline at end of file diff --git a/test-agent/LICENSE.md b/test-agent/LICENSE.md new file mode 100644 index 000000000000..79656060de00 --- /dev/null +++ b/test-agent/LICENSE.md @@ -0,0 +1,21 @@ + MIT License + + Copyright (c) Microsoft Corporation. + + Permission is hereby granted, free of charge, to any person obtaining a copy + of this software and associated documentation files (the "Software"), to deal + in the Software without restriction, including without limitation the rights + to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + copies of the Software, and to permit persons to whom the Software is + furnished to do so, subject to the following conditions: + + The above copyright notice and this permission notice shall be included in all + copies or substantial portions of the Software. + + THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + SOFTWARE \ No newline at end of file diff --git a/test-agent/README.md b/test-agent/README.md new file mode 100644 index 000000000000..73d0b77d74e4 --- /dev/null +++ b/test-agent/README.md @@ -0,0 +1,183 @@ +# Microsoft Foundry `azd` bicep starter kit (basic) + +This Azure Developer CLI (azd) template provides a streamlined way to provision and deploy Microsoft Foundry resources for building and running AI agents. It includes infrastructure-as-code definitions and sample application code to help you quickly get started with Microsoft Foundry's agent capabilities, including model deployments, workspace configuration, and supporting services like storage and container hosting. + +This template does **not** include agent code or application code. You will find samples in other repositories such as [foundry-samples](https://github.com/azure-ai-foundry/foundry-samples): +- [hosted agents samples (python)](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/python/hosted-agents) +- [hosted agents samples (C#)](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/csharp/hosted-agents) + +[Features](#features) • [Getting Started](#getting-started) • [Guidance](#guidance) + +This template, the application code and configuration it contains, has been built to showcase Microsoft Azure specific services and tools. We strongly advise our customers not to make this code part of their production environments without implementing or enabling additional security features. + +With any AI solutions you create using these templates, you are responsible for assessing all associated risks, and for complying with all applicable laws and safety standards. Learn more in the transparency documents for [Agent Service](https://learn.microsoft.com/en-us/azure/ai-foundry/responsible-ai/agents/transparency-note) and [Agent Framework](https://github.com/microsoft/agent-framework/blob/main/TRANSPARENCY_FAQ.md). + +## Features + +This project framework provides the following features: + +* **Microsoft Foundry Project**: Complete setup of Microsoft Foundry workspace with project configuration +* **Foundry Model Deployments**: Automatic deployment of AI models for agent capabilities +* **Azure Container Registry**: Container image storage and management for agent deployments +* **Managed Identity**: Built-in Azure Managed Identity for keyless authentication between services + +### Architecture Diagram + +This starter kit will provision the bare minimum for your hosted agent to work (if `ENABLE_HOSTED_AGENTS=true`). + +| Resource | Description | +|----------|-------------| +| [Microsoft Foundry](https://learn.microsoft.com/azure/ai-foundry) | Provides a collaborative workspace for AI development with access to models, data, and compute resources | +| [Azure Container Registry](https://learn.microsoft.com/azure/container-registry/) | Stores and manages container images for secure deployment | +| [Application Insights](https://learn.microsoft.com/azure/azure-monitor/app/app-insights-overview) | *Optional* - Provides application performance monitoring, logging, and telemetry for debugging and optimization | +| [Log Analytics Workspace](https://learn.microsoft.com/azure/azure-monitor/logs/log-analytics-workspace-overview) | *Optional* - Collects and analyzes telemetry data for monitoring and troubleshooting | + +Those resources will be used by the [`azd ai agent` extension](https://aka.ms/azdaiagent/docs) when building and deploying agents: + +```mermaid +graph TB + Dev[👤 Agent Developer] + Dev -->|1. build agent
container code| ACR + Dev -->|2. deploy agent| AIFP + Dev -->|4. query agent| AIFP + + subgraph "Azure Resource Group" + subgraph "Azure AI Foundry Account" + AIFP[Azure AI Foundry
Project] + Models[Model Deployments] + end + + subgraph ACR[Azure Container Registry] + ACC[Agent code container] + end + end + + %% Connections + AIFP --> Models + ACR -->|3. AcrPull| AIFP + + %% Styling + classDef primary fill:#0078d4,stroke:#005a9e,stroke-width:2px,color:#fff + classDef secondary fill:#00bcf2,stroke:#0099bc,stroke-width:2px,color:#fff + + class AIFP,Models primary + class ACR secondary +``` + +The template is parametrized so that it can be configured with additional resources depending on the agent requirements: + +* deploy AI models by setting `AI_PROJECT_DEPLOYMENTS` with a list of model deployment configs, +* provision additional resources (Azure AI Search, Bing Search) by setting `AI_PROJECT_DEPENDENT_RESOURCES`, +* enable monitoring by setting `ENABLE_MONITORING=true` (default on), +* provision connections by setting `AI_PROJECT_CONNECTIONS` with a list of connection configs. + +## Getting Started + +Note: this repository is not meant to be cloned, but to be consumed as a template in your own project: + +```bash +azd init --template Azure-Samples/ai-foundry-starter-basic +``` + +### Prerequisites + +* Install [azd](https://aka.ms/install-azd) + * Windows: `winget install microsoft.azd` + * Linux: `curl -fsSL https://aka.ms/install-azd.sh | bash` + * MacOS: `brew tap azure/azd && brew install azd` + +### Quickstart + +1. Bring down the template code: + + ```shell + azd init --template Azure-Samples/ai-foundry-starter-basic + ``` + + This will perform a git clone + +2. Sign into your Azure account: + + ```shell + azd auth login + ``` + +3. Download a sample agent from GitHub: + + ```shell + azd ai agent init -m + ``` + +You'll find agent samples in the [`foundry-samples` repo](https://github.com/azure-ai-foundry/foundry-samples/tree/main/samples/microsoft/python/getting-started-agents/hosted-agents). + +## Guidance + +### Region Availability + +This template does not use specific models. The model deployments are a parameter of the template. Each model may not be available in all Azure regions. Check for [up-to-date region availability of Microsoft Foundry](https://learn.microsoft.com/en-us/azure/ai-foundry/reference/region-support) and in particular the [Agent Service](https://learn.microsoft.com/en-us/azure/ai-foundry/agents/concepts/model-region-support?tabs=global-standard). + +## Resource Clean-up + +To prevent incurring unnecessary charges, it's important to clean up your Azure resources after completing your work with the application. + +- **When to Clean Up:** + - After you have finished testing or demonstrating the application. + - If the application is no longer needed or you have transitioned to a different project or environment. + - When you have completed development and are ready to decommission the application. + +- **Deleting Resources:** + To delete all associated resources and shut down the application, execute the following command: + + ```bash + azd down + ``` + + Please note that this process may take up to 20 minutes to complete. + +⚠️ Alternatively, you can delete the resource group directly from the Azure Portal to clean up resources. + +### Costs + +Pricing varies per region and usage, so it isn't possible to predict exact costs for your usage. +The majority of the Azure resources used in this infrastructure are on usage-based pricing tiers. + +You can try the [Azure pricing calculator](https://azure.microsoft.com/pricing/calculator) for the resources deployed in this template. + +* **Microsoft Foundry**: Standard tier. [Pricing](https://azure.microsoft.com/pricing/details/ai-foundry/) +* **Azure AI Services**: S0 tier, defaults to gpt-4o-mini. Pricing is based on token count. [Pricing](https://azure.microsoft.com/pricing/details/cognitive-services/) +* **Azure Container Registry**: Basic SKU. Price is per day and on storage. [Pricing](https://azure.microsoft.com/en-us/pricing/details/container-registry/) +* **Azure Storage Account**: Standard tier, LRS. Pricing is based on storage and operations. [Pricing](https://azure.microsoft.com/pricing/details/storage/blobs/) +* **Log analytics**: Pay-as-you-go tier. Costs based on data ingested. [Pricing](https://azure.microsoft.com/pricing/details/monitor/) +* **Azure AI Search**: Basic tier, LRS. Price is per day and based on transactions. [Pricing](https://azure.microsoft.com/en-us/pricing/details/search/) +* **Grounding with Bing Search**: G1 tier. Costs based on transactions. [Pricing](https://www.microsoft.com/en-us/bing/apis/grounding-pricing) + +⚠️ To avoid unnecessary costs, remember to take down your app if it's no longer in use, either by deleting the resource group in the Portal or running `azd down`. + +### Security guidelines + +This template also uses [Managed Identity](https://learn.microsoft.com/entra/identity/managed-identities-azure-resources/overview) for local development and deployment. + +To ensure continued best practices in your own repository, we recommend that anyone creating solutions based on our templates ensure that the [Github secret scanning](https://docs.github.com/code-security/secret-scanning/about-secret-scanning) setting is enabled. + +You may want to consider additional security measures, such as: + +- Enabling Microsoft Defender for Cloud to [secure your Azure resources](https://learn.microsoft.com/azure/defender-for-cloud/). +- Protecting the Azure Container Apps instance with a [firewall](https://learn.microsoft.com/azure/container-apps/waf-app-gateway) and/or [Virtual Network](https://learn.microsoft.com/azure/container-apps/networking?tabs=workload-profiles-env%2Cazure-cli). + +> **Important Security Notice**
+This template, the application code and configuration it contains, has been built to showcase Microsoft Azure specific services and tools. We strongly advise our customers not to make this code part of their production environments without implementing or enabling additional security features.

+For a more comprehensive list of best practices and security recommendations for Intelligent Applications, [visit our official documentation](https://learn.microsoft.com/en-us/azure/ai-foundry/). + +## Additional Disclaimers + +**Trademarks** This project may contain trademarks or logos for projects, products, or services. Authorized use of Microsoft trademarks or logos is subject to and must follow [Microsoft’s Trademark & Brand Guidelines](https://www.microsoft.com/en-us/legal/intellectualproperty/trademarks/usage/general). Use of Microsoft trademarks or logos in modified versions of this project must not cause confusion or imply Microsoft sponsorship. Any use of third-party trademarks or logos are subject to those third-party’s policies. + +To the extent that the Software includes components or code used in or derived from Microsoft products or services, including without limitation Microsoft Azure Services (collectively, “Microsoft Products and Services”), you must also comply with the Product Terms applicable to such Microsoft Products and Services. You acknowledge and agree that the license governing the Software does not grant you a license or other right to use Microsoft Products and Services. Nothing in the license or this ReadMe file will serve to supersede, amend, terminate or modify any terms in the Product Terms for any Microsoft Products and Services. + +You must also comply with all domestic and international export laws and regulations that apply to the Software, which include restrictions on destinations, end users, and end use. For further information on export restrictions, visit . + +You acknowledge that the Software and Microsoft Products and Services (1) are not designed, intended or made available as a medical device(s), and (2) are not designed or intended to be a substitute for professional medical advice, diagnosis, treatment, or judgment and should not be used to replace or as a substitute for professional medical advice, diagnosis, treatment, or judgment. Customer is solely responsible for displaying and/or obtaining appropriate consents, warnings, disclaimers, and acknowledgements to end users of Customer’s implementation of the Online Services. + +You acknowledge the Software is not subject to SOC 1 and SOC 2 compliance audits. No Microsoft technology, nor any of its component technologies, including the Software, is intended or made available as a substitute for the professional advice, opinion, or judgement of a certified financial services professional. Do not use the Software to replace, substitute, or provide professional financial advice or judgment. + +BY ACCESSING OR USING THE SOFTWARE, YOU ACKNOWLEDGE THAT THE SOFTWARE IS NOT DESIGNED OR INTENDED TO SUPPORT ANY USE IN WHICH A SERVICE INTERRUPTION, DEFECT, ERROR, OR OTHER FAILURE OF THE SOFTWARE COULD RESULT IN THE DEATH OR SERIOUS BODILY INJURY OF ANY PERSON OR IN PHYSICAL OR ENVIRONMENTAL DAMAGE (COLLECTIVELY, “HIGH-RISK USE”), AND THAT YOU WILL ENSURE THAT, IN THE EVENT OF ANY INTERRUPTION, DEFECT, ERROR, OR OTHER FAILURE OF THE SOFTWARE, THE SAFETY OF PEOPLE, PROPERTY, AND THE ENVIRONMENT ARE NOT REDUCED BELOW A LEVEL THAT IS REASONABLY, APPROPRIATE, AND LEGAL, WHETHER IN GENERAL OR IN A SPECIFIC INDUSTRY. BY ACCESSING THE SOFTWARE, YOU FURTHER ACKNOWLEDGE THAT YOUR HIGH-RISK USE OF THE SOFTWARE IS AT YOUR OWN RISK. diff --git a/test-agent/SECURITY.md b/test-agent/SECURITY.md new file mode 100644 index 000000000000..8d61b620f5d0 --- /dev/null +++ b/test-agent/SECURITY.md @@ -0,0 +1,41 @@ + + +## Security + +Microsoft takes the security of our software products and services seriously, which includes all source code repositories managed through our GitHub organizations, which include [Microsoft](https://github.com/Microsoft), [Azure](https://github.com/Azure), [DotNet](https://github.com/dotnet), [AspNet](https://github.com/aspnet), [Xamarin](https://github.com/xamarin), and [our GitHub organizations](https://opensource.microsoft.com/). + +If you believe you have found a security vulnerability in any Microsoft-owned repository that meets [Microsoft's definition of a security vulnerability](), please report it to us as described below. + +## Reporting Security Issues + +**Please do not report security vulnerabilities through public GitHub issues.** + +Instead, please report them to the Microsoft Security Response Center (MSRC) at [https://msrc.microsoft.com/create-report](https://msrc.microsoft.com/create-report). + +If you prefer to submit without logging in, send email to [secure@microsoft.com](mailto:secure@microsoft.com). If possible, encrypt your message with our PGP key; please download it from the [Microsoft Security Response Center PGP Key page](https://www.microsoft.com/msrc/pgp-key-msrc). + +You should receive a response within 24 hours. If for some reason you do not, please follow up via email to ensure we received your original message. Additional information can be found at [microsoft.com/msrc](https://www.microsoft.com/msrc). + +Please include the requested information listed below (as much as you can provide) to help us better understand the nature and scope of the possible issue: + +- Type of issue (e.g. buffer overflow, SQL injection, cross-site scripting, etc.) +- Full paths of source file(s) related to the manifestation of the issue +- The location of the affected source code (tag/branch/commit or direct URL) +- Any special configuration required to reproduce the issue +- Step-by-step instructions to reproduce the issue +- Proof-of-concept or exploit code (if possible) +- Impact of the issue, including how an attacker might exploit the issue + +This information will help us triage your report more quickly. + +If you are reporting for a bug bounty, more complete reports can contribute to a higher bounty award. Please visit our [Microsoft Bug Bounty Program](https://microsoft.com/msrc/bounty) page for more details about our active programs. + +## Preferred Languages + +We prefer all communications to be in English. + +## Policy + +Microsoft follows the principle of [Coordinated Vulnerability Disclosure](https://www.microsoft.com/msrc/cvd). + + \ No newline at end of file diff --git a/test-agent/SUPPORT.md b/test-agent/SUPPORT.md new file mode 100644 index 000000000000..152a066a4c85 --- /dev/null +++ b/test-agent/SUPPORT.md @@ -0,0 +1,13 @@ +# Support + +## How to file issues and get help + +This project uses GitHub Issues to track bugs and feature requests. Please search the existing +issues before filing new issues to avoid duplicates. For new issues, file your bug or +feature request as a new Issue. + +For help and questions about using this project, please submit an issue on this repository. + +## Microsoft Support Policy + +Support for this repository is limited to the resources listed above. diff --git a/test-agent/azure.yaml b/test-agent/azure.yaml new file mode 100644 index 000000000000..b72682192ebd --- /dev/null +++ b/test-agent/azure.yaml @@ -0,0 +1,12 @@ +# yaml-language-server: $schema=https://raw.githubusercontent.com/Azure/azure-dev/main/schemas/v1.0/azure.yaml.json +name: ai-foundry-starter-basic + +infra: + provider: bicep + path: ./infra + +requiredVersions: + extensions: + # the azd ai agent extension is required for this template + "azure.ai.agents": ">=0.1.0-preview" + diff --git a/test-agent/infra/abbreviations.json b/test-agent/infra/abbreviations.json new file mode 100644 index 000000000000..879b2a9507b1 --- /dev/null +++ b/test-agent/infra/abbreviations.json @@ -0,0 +1,137 @@ +{ + "aiFoundryAccounts": "aif", + "analysisServicesServers": "as", + "apiManagementService": "apim-", + "appConfigurationStores": "appcs-", + "appManagedEnvironments": "cae-", + "appContainerApps": "ca-", + "authorizationPolicyDefinitions": "policy-", + "automationAutomationAccounts": "aa-", + "blueprintBlueprints": "bp-", + "blueprintBlueprintsArtifacts": "bpa-", + "cacheRedis": "redis-", + "cdnProfiles": "cdnp-", + "cdnProfilesEndpoints": "cdne-", + "cognitiveServicesAccounts": "cog-", + "cognitiveServicesFormRecognizer": "cog-fr-", + "cognitiveServicesTextAnalytics": "cog-ta-", + "computeAvailabilitySets": "avail-", + "computeCloudServices": "cld-", + "computeDiskEncryptionSets": "des", + "computeDisks": "disk", + "computeDisksOs": "osdisk", + "computeGalleries": "gal", + "computeSnapshots": "snap-", + "computeVirtualMachines": "vm", + "computeVirtualMachineScaleSets": "vmss-", + "containerInstanceContainerGroups": "ci", + "containerRegistryRegistries": "cr", + "containerServiceManagedClusters": "aks-", + "databricksWorkspaces": "dbw-", + "dataFactoryFactories": "adf-", + "dataLakeAnalyticsAccounts": "dla", + "dataLakeStoreAccounts": "dls", + "dataMigrationServices": "dms-", + "dBforMySQLServers": "mysql-", + "dBforPostgreSQLServers": "psql-", + "devicesIotHubs": "iot-", + "devicesProvisioningServices": "provs-", + "devicesProvisioningServicesCertificates": "pcert-", + "documentDBDatabaseAccounts": "cosmos-", + "documentDBMongoDatabaseAccounts": "cosmon-", + "eventGridDomains": "evgd-", + "eventGridDomainsTopics": "evgt-", + "eventGridEventSubscriptions": "evgs-", + "eventHubNamespaces": "evhns-", + "eventHubNamespacesEventHubs": "evh-", + "hdInsightClustersHadoop": "hadoop-", + "hdInsightClustersHbase": "hbase-", + "hdInsightClustersKafka": "kafka-", + "hdInsightClustersMl": "mls-", + "hdInsightClustersSpark": "spark-", + "hdInsightClustersStorm": "storm-", + "hybridComputeMachines": "arcs-", + "insightsActionGroups": "ag-", + "insightsComponents": "appi-", + "keyVaultVaults": "kv-", + "kubernetesConnectedClusters": "arck", + "kustoClusters": "dec", + "kustoClustersDatabases": "dedb", + "logicIntegrationAccounts": "ia-", + "logicWorkflows": "logic-", + "machineLearningServicesWorkspaces": "mlw-", + "managedIdentityUserAssignedIdentities": "id-", + "managementManagementGroups": "mg-", + "migrateAssessmentProjects": "migr-", + "networkApplicationGateways": "agw-", + "networkApplicationSecurityGroups": "asg-", + "networkAzureFirewalls": "afw-", + "networkBastionHosts": "bas-", + "networkConnections": "con-", + "networkDnsZones": "dnsz-", + "networkExpressRouteCircuits": "erc-", + "networkFirewallPolicies": "afwp-", + "networkFirewallPoliciesWebApplication": "waf", + "networkFirewallPoliciesRuleGroups": "wafrg", + "networkFrontDoors": "fd-", + "networkFrontdoorWebApplicationFirewallPolicies": "fdfp-", + "networkLoadBalancersExternal": "lbe-", + "networkLoadBalancersInternal": "lbi-", + "networkLoadBalancersInboundNatRules": "rule-", + "networkLocalNetworkGateways": "lgw-", + "networkNatGateways": "ng-", + "networkNetworkInterfaces": "nic-", + "networkNetworkSecurityGroups": "nsg-", + "networkNetworkSecurityGroupsSecurityRules": "nsgsr-", + "networkNetworkWatchers": "nw-", + "networkPrivateDnsZones": "pdnsz-", + "networkPrivateLinkServices": "pl-", + "networkPublicIPAddresses": "pip-", + "networkPublicIPPrefixes": "ippre-", + "networkRouteFilters": "rf-", + "networkRouteTables": "rt-", + "networkRouteTablesRoutes": "udr-", + "networkTrafficManagerProfiles": "traf-", + "networkVirtualNetworkGateways": "vgw-", + "networkVirtualNetworks": "vnet-", + "networkVirtualNetworksSubnets": "snet-", + "networkVirtualNetworksVirtualNetworkPeerings": "peer-", + "networkVirtualWans": "vwan-", + "networkVpnGateways": "vpng-", + "networkVpnGatewaysVpnConnections": "vcn-", + "networkVpnGatewaysVpnSites": "vst-", + "notificationHubsNamespaces": "ntfns-", + "notificationHubsNamespacesNotificationHubs": "ntf-", + "operationalInsightsWorkspaces": "log-", + "portalDashboards": "dash-", + "powerBIDedicatedCapacities": "pbi-", + "purviewAccounts": "pview-", + "recoveryServicesVaults": "rsv-", + "resourcesResourceGroups": "rg-", + "searchSearchServices": "srch-", + "serviceBusNamespaces": "sb-", + "serviceBusNamespacesQueues": "sbq-", + "serviceBusNamespacesTopics": "sbt-", + "serviceEndPointPolicies": "se-", + "serviceFabricClusters": "sf-", + "signalRServiceSignalR": "sigr", + "sqlManagedInstances": "sqlmi-", + "sqlServers": "sql-", + "sqlServersDataWarehouse": "sqldw-", + "sqlServersDatabases": "sqldb-", + "sqlServersDatabasesStretch": "sqlstrdb-", + "storageStorageAccounts": "st", + "storageStorageAccountsVm": "stvm", + "storSimpleManagers": "ssimp", + "streamAnalyticsCluster": "asa-", + "synapseWorkspaces": "syn", + "synapseWorkspacesAnalyticsWorkspaces": "synw", + "synapseWorkspacesSqlPoolsDedicated": "syndp", + "synapseWorkspacesSqlPoolsSpark": "synsp", + "timeSeriesInsightsEnvironments": "tsi-", + "webServerFarms": "plan-", + "webSitesAppService": "app-", + "webSitesAppServiceEnvironment": "ase-", + "webSitesFunctions": "func-", + "webStaticSites": "stapp-" +} diff --git a/test-agent/infra/core/ai/ai-project.bicep b/test-agent/infra/core/ai/ai-project.bicep new file mode 100644 index 000000000000..7a6ad9c36455 --- /dev/null +++ b/test-agent/infra/core/ai/ai-project.bicep @@ -0,0 +1,349 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Main location for the resources') +param location string + +var resourceToken = uniqueString(subscription().id, resourceGroup().id, location) + +@description('Name of the project') +param aiFoundryProjectName string + +param deployments deploymentsType + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Optional. Name of an existing AI Services account in the current resource group. If not provided, a new one will be created.') +param existingAiAccountName string = '' + +@description('List of connections to provision') +param connections array = [] + +@description('Also provision dependent resources and connect to the project') +param additionalDependentResources dependentResourcesType + +@description('Enable monitoring via appinsights and log analytics') +param enableMonitoring bool = true + +@description('Enable hosted agent deployment') +param enableHostedAgents bool = false + +// Load abbreviations +var abbrs = loadJsonContent('../../abbreviations.json') + +// Determine which resources to create based on connections +var hasStorageConnection = length(filter(additionalDependentResources, conn => conn.resource == 'storage')) > 0 +var hasAcrConnection = length(filter(additionalDependentResources, conn => conn.resource == 'registry')) > 0 +var hasSearchConnection = length(filter(additionalDependentResources, conn => conn.resource == 'azure_ai_search')) > 0 +var hasBingConnection = length(filter(additionalDependentResources, conn => conn.resource == 'bing_grounding')) > 0 +var hasBingCustomConnection = length(filter(additionalDependentResources, conn => conn.resource == 'bing_custom_grounding')) > 0 + +// Extract connection names from ai.yaml for each resource type +var storageConnectionName = hasStorageConnection ? filter(additionalDependentResources, conn => conn.resource == 'storage')[0].connectionName : '' +var acrConnectionName = hasAcrConnection ? filter(additionalDependentResources, conn => conn.resource == 'registry')[0].connectionName : '' +var searchConnectionName = hasSearchConnection ? filter(additionalDependentResources, conn => conn.resource == 'azure_ai_search')[0].connectionName : '' +var bingConnectionName = hasBingConnection ? filter(additionalDependentResources, conn => conn.resource == 'bing_grounding')[0].connectionName : '' +var bingCustomConnectionName = hasBingCustomConnection ? filter(additionalDependentResources, conn => conn.resource == 'bing_custom_grounding')[0].connectionName : '' + +// Enable monitoring via Log Analytics and Application Insights +module logAnalytics '../monitor/loganalytics.bicep' = if (enableMonitoring) { + name: 'logAnalytics' + params: { + location: location + tags: tags + name: 'logs-${resourceToken}' + } +} + +module applicationInsights '../monitor/applicationinsights.bicep' = if (enableMonitoring) { + name: 'applicationInsights' + params: { + location: location + tags: tags + name: 'appi-${resourceToken}' + logAnalyticsWorkspaceId: logAnalytics.outputs.id + } +} + +// Always create a new AI Account for now (simplified approach) +// TODO: Add support for existing accounts in a future version +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-06-01' = { + name: !empty(existingAiAccountName) ? existingAiAccountName : 'ai-account-${resourceToken}' + location: location + tags: tags + sku: { + name: 'S0' + } + kind: 'AIServices' + identity: { + type: 'SystemAssigned' + } + properties: { + allowProjectManagement: true + customSubDomainName: !empty(existingAiAccountName) ? existingAiAccountName : 'ai-account-${resourceToken}' + networkAcls: { + defaultAction: 'Allow' + virtualNetworkRules: [] + ipRules: [] + } + publicNetworkAccess: 'Enabled' + disableLocalAuth: true + } + + @batchSize(1) + resource seqDeployments 'deployments' = [ + for dep in (deployments??[]): { + name: dep.name + properties: { + model: dep.model + } + sku: dep.sku + } + ] + + resource project 'projects' = { + name: aiFoundryProjectName + location: location + identity: { + type: 'SystemAssigned' + } + properties: { + description: '${aiFoundryProjectName} Project' + displayName: '${aiFoundryProjectName}Project' + } + dependsOn: [ + seqDeployments + ] + } + + resource aiFoundryAccountCapabilityHost 'capabilityHosts@2025-10-01-preview' = if (enableHostedAgents) { + name: 'agents' + properties: { + capabilityHostKind: 'Agents' + // IMPORTANT: this is required to enable hosted agents deployment + // if no BYO Net is provided + enablePublicHostingEnvironment: true + } + } +} + + +// Create connection towards appinsights +resource appInsightConnection 'Microsoft.CognitiveServices/accounts/projects/connections@2025-04-01-preview' = { + parent: aiAccount::project + name: 'appi-connection' + properties: { + category: 'AppInsights' + target: applicationInsights.outputs.id + authType: 'ApiKey' + isSharedToAll: true + credentials: { + key: applicationInsights.outputs.connectionString + } + metadata: { + ApiType: 'Azure' + ResourceId: applicationInsights.outputs.id + } + } +} + +// Create additional connections from ai.yaml configuration +module aiConnections './connection.bicep' = [for (connection, index) in connections: { + name: 'connection-${connection.name}' + params: { + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + connectionConfig: { + name: connection.name + category: connection.category + target: connection.target + authType: connection.authType + } + apiKey: '' // API keys should be provided via secure parameters or Key Vault + } +}] + +resource localUserAiDeveloperRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: resourceGroup() + name: guid(subscription().id, resourceGroup().id, principalId, '64702f94-c441-49e6-a78b-ef80e0188fee') + properties: { + principalId: principalId + principalType: principalType + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', '64702f94-c441-49e6-a78b-ef80e0188fee') + } +} + +resource localUserCognitiveServicesUserRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: resourceGroup() + name: guid(subscription().id, resourceGroup().id, principalId, 'a97b65f3-24c7-4388-baec-2e87135dc908') + properties: { + principalId: principalId + principalType: principalType + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') + } +} + +resource projectCognitiveServicesUserRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + scope: aiAccount + name: guid(subscription().id, resourceGroup().id, aiAccount::project.name, '53ca6127-db72-4b80-b1b0-d745d6d5456d') + properties: { + principalId: aiAccount::project.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', '53ca6127-db72-4b80-b1b0-d745d6d5456d') + } +} + + +// All connections are now created directly within their respective resource modules +// using the centralized ./connection.bicep module + +// Storage module - deploy if storage connection is defined in ai.yaml +module storage '../storage/storage.bicep' = if (hasStorageConnection) { + name: 'storage' + params: { + location: location + tags: tags + resourceName: 'st${resourceToken}' + connectionName: storageConnectionName + principalId: principalId + principalType: principalType + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Azure Container Registry module - deploy if ACR connection is defined in ai.yaml +module acr '../host/acr.bicep' = if (hasAcrConnection) { + name: 'acr' + params: { + location: location + tags: tags + resourceName: '${abbrs.containerRegistryRegistries}${resourceToken}' + connectionName: acrConnectionName + principalId: principalId + principalType: principalType + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Bing Search grounding module - deploy if Bing connection is defined in ai.yaml or parameter is enabled +module bingGrounding '../search/bing_grounding.bicep' = if (hasBingConnection) { + name: 'bing-grounding' + params: { + tags: tags + resourceName: 'bing-${resourceToken}' + connectionName: bingConnectionName + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Bing Custom Search grounding module - deploy if custom Bing connection is defined in ai.yaml or parameter is enabled +module bingCustomGrounding '../search/bing_custom_grounding.bicep' = if (hasBingCustomConnection) { + name: 'bing-custom-grounding' + params: { + tags: tags + resourceName: 'bingcustom-${resourceToken}' + connectionName: bingCustomConnectionName + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + } +} + +// Azure AI Search module - deploy if search connection is defined in ai.yaml +module azureAiSearch '../search/azure_ai_search.bicep' = if (hasSearchConnection) { + name: 'azure-ai-search' + params: { + tags: tags + resourceName: 'search-${resourceToken}' + connectionName: searchConnectionName + storageAccountResourceId: hasStorageConnection ? storage!.outputs.storageAccountId : '' + containerName: 'knowledge' + aiServicesAccountName: aiAccount.name + aiProjectName: aiAccount::project.name + principalId: principalId + principalType: principalType + location: location + } +} + + +// Outputs +output AZURE_AI_PROJECT_ENDPOINT string = aiAccount::project.properties.endpoints['AI Foundry API'] +output AZURE_OPENAI_ENDPOINT string = aiAccount.properties.endpoints['OpenAI Language Model Instance API'] +output aiServicesEndpoint string = aiAccount.properties.endpoint +output accountId string = aiAccount.id +output projectId string = aiAccount::project.id +output aiServicesAccountName string = aiAccount.name +output aiServicesProjectName string = aiAccount::project.name +output aiServicesPrincipalId string = aiAccount.identity.principalId +output projectName string = aiAccount::project.name +output APPLICATIONINSIGHTS_CONNECTION_STRING string = applicationInsights.outputs.connectionString + +// Grouped dependent resources outputs +output dependentResources object = { + registry: { + name: hasAcrConnection ? acr!.outputs.containerRegistryName : '' + loginServer: hasAcrConnection ? acr!.outputs.containerRegistryLoginServer : '' + connectionName: hasAcrConnection ? acr!.outputs.containerRegistryConnectionName : '' + } + bing_grounding: { + name: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingName : '' + connectionName: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingConnectionName : '' + connectionId: (hasBingConnection) ? bingGrounding!.outputs.bingGroundingConnectionId : '' + } + bing_custom_grounding: { + name: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingName : '' + connectionName: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingConnectionName : '' + connectionId: (hasBingCustomConnection) ? bingCustomGrounding!.outputs.bingCustomGroundingConnectionId : '' + } + search: { + serviceName: hasSearchConnection ? azureAiSearch!.outputs.searchServiceName : '' + connectionName: hasSearchConnection ? azureAiSearch!.outputs.searchConnectionName : '' + } + storage: { + accountName: hasStorageConnection ? storage!.outputs.storageAccountName : '' + connectionName: hasStorageConnection ? storage!.outputs.storageConnectionName : '' + } +} + +type deploymentsType = { + @description('Specify the name of cognitive service account deployment.') + name: string + + @description('Required. Properties of Cognitive Services account deployment model.') + model: { + @description('Required. The name of Cognitive Services account deployment model.') + name: string + + @description('Required. The format of Cognitive Services account deployment model.') + format: string + + @description('Required. The version of Cognitive Services account deployment model.') + version: string + } + + @description('The resource model definition representing SKU.') + sku: { + @description('Required. The name of the resource model definition representing SKU.') + name: string + + @description('The capacity of the resource model definition representing SKU.') + capacity: int + } +}[]? + +type dependentResourcesType = { + @description('The type of dependent resource to create') + resource: 'storage' | 'registry' | 'azure_ai_search' | 'bing_grounding' | 'bing_custom_grounding' + + @description('The connection name for this resource') + connectionName: string +}[] diff --git a/test-agent/infra/core/ai/connection.bicep b/test-agent/infra/core/ai/connection.bicep new file mode 100644 index 000000000000..38d4e0d632ee --- /dev/null +++ b/test-agent/infra/core/ai/connection.bicep @@ -0,0 +1,68 @@ +targetScope = 'resourceGroup' + +@description('AI Services account name') +param aiServicesAccountName string + +@description('AI project name') +param aiProjectName string + +// Connection configuration type definition +type ConnectionConfig = { + @description('Name of the connection') + name: string + + @description('Category of the connection (e.g., ContainerRegistry, AzureStorageAccount, CognitiveSearch)') + category: string + + @description('Target endpoint or URL for the connection') + target: string + + @description('Authentication type') + authType: 'AAD' | 'AccessKey' | 'AccountKey' | 'ApiKey' | 'CustomKeys' | 'ManagedIdentity' | 'None' | 'OAuth2' | 'PAT' | 'SAS' | 'ServicePrincipal' | 'UsernamePassword' + + @description('Whether the connection is shared to all users (optional, defaults to true)') + isSharedToAll: bool? + + @description('Credentials for non-ApiKey authentication types (optional)') + credentials: object? + + @description('Additional metadata for the connection (optional)') + metadata: object? +} + +@description('Connection configuration') +param connectionConfig ConnectionConfig + +@secure() +@description('API key for ApiKey based connections (optional)') +param apiKey string = '' + + +// Get reference to the AI Services account and project +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = { + name: aiServicesAccountName + + resource project 'projects' existing = { + name: aiProjectName + } +} + +// Create the connection +resource connection 'Microsoft.CognitiveServices/accounts/projects/connections@2025-04-01-preview' = { + parent: aiAccount::project + name: connectionConfig.name + properties: { + category: connectionConfig.category + target: connectionConfig.target + authType: connectionConfig.authType + isSharedToAll: connectionConfig.?isSharedToAll ?? true + credentials: connectionConfig.authType == 'ApiKey' ? { + key: apiKey + } : connectionConfig.?credentials + metadata: connectionConfig.?metadata + } +} + +// Outputs +output connectionName string = connection.name +output connectionId string = connection.id diff --git a/test-agent/infra/core/host/acr.bicep b/test-agent/infra/core/host/acr.bicep new file mode 100644 index 000000000000..be2fcb397f7a --- /dev/null +++ b/test-agent/infra/core/host/acr.bicep @@ -0,0 +1,87 @@ +targetScope = 'resourceGroup' + +@description('The location used for all deployed resources') +param location string = resourceGroup().location + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Resource name for the container registry') +param resourceName string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry ACR connection') +param connectionName string = 'acr-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Create the Container Registry +module containerRegistry 'br/public:avm/res/container-registry/registry:0.1.1' = { + name: 'registry' + params: { + name: resourceName + location: location + tags: tags + publicNetworkAccess: 'Enabled' + roleAssignments:[ + { + principalId: principalId + principalType: principalType + roleDefinitionIdOrName: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7f951dda-4ed3-4680-a7ca-43fe172d538d') + } + // TODO SEPARATELY + { + // the foundry project itself can pull from the ACR + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionIdOrName: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7f951dda-4ed3-4680-a7ca-43fe172d538d') + } + ] + } +} + +// Create the ACR connection using the centralized connection module +module acrConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'acr-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'ContainerRegistry' + target: containerRegistry.outputs.loginServer + authType: 'ManagedIdentity' + credentials: { + clientId: aiAccount::aiProject.identity.principalId + resourceId: containerRegistry.outputs.resourceId + } + isSharedToAll: true + metadata: { + ResourceId: containerRegistry.outputs.resourceId + } + } + } +} + +output containerRegistryName string = containerRegistry.outputs.name +output containerRegistryLoginServer string = containerRegistry.outputs.loginServer +output containerRegistryResourceId string = containerRegistry.outputs.resourceId +output containerRegistryConnectionName string = acrConnection.outputs.connectionName diff --git a/test-agent/infra/core/monitor/applicationinsights-dashboard.bicep b/test-agent/infra/core/monitor/applicationinsights-dashboard.bicep new file mode 100644 index 000000000000..d082e668ed9f --- /dev/null +++ b/test-agent/infra/core/monitor/applicationinsights-dashboard.bicep @@ -0,0 +1,1236 @@ +metadata description = 'Creates a dashboard for an Application Insights instance.' +param name string +param applicationInsightsName string +param location string = resourceGroup().location +param tags object = {} + +// 2020-09-01-preview because that is the latest valid version +resource applicationInsightsDashboard 'Microsoft.Portal/dashboards@2020-09-01-preview' = { + name: name + location: location + tags: tags + properties: { + lenses: [ + { + order: 0 + parts: [ + { + position: { + x: 0 + y: 0 + colSpan: 2 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'id' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AspNetOverviewPinnedPart' + asset: { + idInputName: 'id' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'overview' + } + } + { + position: { + x: 2 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/ProactiveDetectionAsyncPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'ProactiveDetection' + } + } + { + position: { + x: 3 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/QuickPulseButtonSmallPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 4 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-04T01:20:33.345Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AvailabilityNavButtonPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 5 + y: 0 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-08T18:47:35.237Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'ConfigurationId' + value: '78ce933e-e864-4b05-a27b-71fd55a6afad' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/AppMapButtonPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 0 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Usage' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 3 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + endTime: null + createdTime: '2018-05-04T01:22:35.782Z' + isInitialTime: true + grain: 1 + useDashboardTimeRange: false + } + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/UsageUsersOverviewPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + } + } + { + position: { + x: 4 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Reliability' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 7 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'DataModel' + value: { + version: '1.0.0' + timeContext: { + durationMs: 86400000 + createdTime: '2018-05-04T23:42:40.072Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + isOptional: true + } + { + name: 'ConfigurationId' + value: '8a02f7bf-ac0f-40e1-afe9-f0e72cfee77f' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/CuratedBladeFailuresPinnedPart' + isAdapter: true + asset: { + idInputName: 'ResourceId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'failures' + } + } + { + position: { + x: 8 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Responsiveness\r\n' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 11 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ResourceId' + value: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + { + name: 'DataModel' + value: { + version: '1.0.0' + timeContext: { + durationMs: 86400000 + createdTime: '2018-05-04T23:43:37.804Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + isOptional: true + } + { + name: 'ConfigurationId' + value: '2a8ede4f-2bee-4b9c-aed9-2db0e8a01865' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/CuratedBladePerformancePinnedPart' + isAdapter: true + asset: { + idInputName: 'ResourceId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'performance' + } + } + { + position: { + x: 12 + y: 1 + colSpan: 3 + rowSpan: 1 + } + metadata: { + inputs: [] + type: 'Extension/HubsExtension/PartType/MarkdownPart' + settings: { + content: { + settings: { + content: '# Browser' + title: '' + subtitle: '' + } + } + } + } + } + { + position: { + x: 15 + y: 1 + colSpan: 1 + rowSpan: 1 + } + metadata: { + inputs: [ + { + name: 'ComponentId' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'MetricsExplorerJsonDefinitionId' + value: 'BrowserPerformanceTimelineMetrics' + } + { + name: 'TimeContext' + value: { + durationMs: 86400000 + createdTime: '2018-05-08T12:16:27.534Z' + isInitialTime: false + grain: 1 + useDashboardTimeRange: false + } + } + { + name: 'CurrentFilter' + value: { + eventTypes: [ + 4 + 1 + 3 + 5 + 2 + 6 + 13 + ] + typeFacets: {} + isPermissive: false + } + } + { + name: 'id' + value: { + Name: applicationInsights.name + SubscriptionId: subscription().subscriptionId + ResourceGroup: resourceGroup().name + } + } + { + name: 'Version' + value: '1.0' + } + ] + #disable-next-line BCP036 + type: 'Extension/AppInsightsExtension/PartType/MetricsExplorerBladePinnedPart' + asset: { + idInputName: 'ComponentId' + type: 'ApplicationInsights' + } + defaultMenuItemId: 'browser' + } + } + { + position: { + x: 0 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'sessions/count' + aggregationType: 5 + namespace: 'microsoft.insights/components/kusto' + metricVisualization: { + displayName: 'Sessions' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'users/count' + aggregationType: 5 + namespace: 'microsoft.insights/components/kusto' + metricVisualization: { + displayName: 'Users' + color: '#7E58FF' + } + } + ] + title: 'Unique sessions and users' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'segmentationUsers' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'requests/failed' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Failed requests' + color: '#EC008C' + } + } + ] + title: 'Failed requests' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'failures' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'requests/duration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Server response time' + color: '#00BCF2' + } + } + ] + title: 'Server response time' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'performance' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 12 + y: 2 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/networkDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Page load network connect time' + color: '#7E58FF' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/processingDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Client processing time' + color: '#44F1C8' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/sendDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Send request time' + color: '#EB9371' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'browserTimings/receiveDuration' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Receiving response time' + color: '#0672F1' + } + } + ] + title: 'Average page load time breakdown' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 0 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'availabilityResults/availabilityPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Availability' + color: '#47BDF5' + } + } + ] + title: 'Average availability' + visualization: { + chartType: 3 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + openBladeOnClick: { + openBlade: true + destinationBlade: { + extensionName: 'HubsExtension' + bladeName: 'ResourceMenuBlade' + parameters: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + menuid: 'availability' + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'exceptions/server' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Server exceptions' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'dependencies/failed' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Dependency failures' + color: '#7E58FF' + } + } + ] + title: 'Server exceptions and Dependency failures' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processorCpuPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Processor time' + color: '#47BDF5' + } + } + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processCpuPercentage' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Process CPU' + color: '#7E58FF' + } + } + ] + title: 'Average processor and process CPU utilization' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 12 + y: 5 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'exceptions/browser' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Browser exceptions' + color: '#47BDF5' + } + } + ] + title: 'Browser exceptions' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 0 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'availabilityResults/count' + aggregationType: 7 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Availability test results count' + color: '#47BDF5' + } + } + ] + title: 'Availability test results count' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 4 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/processIOBytesPerSecond' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Process IO rate' + color: '#47BDF5' + } + } + ] + title: 'Average process I/O rate' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + { + position: { + x: 8 + y: 8 + colSpan: 4 + rowSpan: 3 + } + metadata: { + inputs: [ + { + name: 'options' + value: { + chart: { + metrics: [ + { + resourceMetadata: { + id: '/subscriptions/${subscription().subscriptionId}/resourceGroups/${resourceGroup().name}/providers/Microsoft.Insights/components/${applicationInsights.name}' + } + name: 'performanceCounters/memoryAvailableBytes' + aggregationType: 4 + namespace: 'microsoft.insights/components' + metricVisualization: { + displayName: 'Available memory' + color: '#47BDF5' + } + } + ] + title: 'Average available memory' + visualization: { + chartType: 2 + legendVisualization: { + isVisible: true + position: 2 + hideSubtitle: false + } + axisVisualization: { + x: { + isVisible: true + axisType: 2 + } + y: { + isVisible: true + axisType: 1 + } + } + } + } + } + } + { + name: 'sharedTimeRange' + isOptional: true + } + ] + #disable-next-line BCP036 + type: 'Extension/HubsExtension/PartType/MonitorChartPart' + settings: {} + } + } + ] + } + ] + } +} + +resource applicationInsights 'Microsoft.Insights/components@2020-02-02' existing = { + name: applicationInsightsName +} diff --git a/test-agent/infra/core/monitor/applicationinsights.bicep b/test-agent/infra/core/monitor/applicationinsights.bicep new file mode 100644 index 000000000000..850e9fe12264 --- /dev/null +++ b/test-agent/infra/core/monitor/applicationinsights.bicep @@ -0,0 +1,31 @@ +metadata description = 'Creates an Application Insights instance based on an existing Log Analytics workspace.' +param name string +param dashboardName string = '' +param location string = resourceGroup().location +param tags object = {} +param logAnalyticsWorkspaceId string + +resource applicationInsights 'Microsoft.Insights/components@2020-02-02' = { + name: name + location: location + tags: tags + kind: 'web' + properties: { + Application_Type: 'web' + WorkspaceResourceId: logAnalyticsWorkspaceId + } +} + +module applicationInsightsDashboard 'applicationinsights-dashboard.bicep' = if (!empty(dashboardName)) { + name: 'application-insights-dashboard' + params: { + name: dashboardName + location: location + applicationInsightsName: applicationInsights.name + } +} + +output connectionString string = applicationInsights.properties.ConnectionString +output id string = applicationInsights.id +output instrumentationKey string = applicationInsights.properties.InstrumentationKey +output name string = applicationInsights.name diff --git a/test-agent/infra/core/monitor/loganalytics.bicep b/test-agent/infra/core/monitor/loganalytics.bicep new file mode 100644 index 000000000000..33f9dc29443a --- /dev/null +++ b/test-agent/infra/core/monitor/loganalytics.bicep @@ -0,0 +1,22 @@ +metadata description = 'Creates a Log Analytics workspace.' +param name string +param location string = resourceGroup().location +param tags object = {} + +resource logAnalytics 'Microsoft.OperationalInsights/workspaces@2021-12-01-preview' = { + name: name + location: location + tags: tags + properties: any({ + retentionInDays: 30 + features: { + searchVersion: 1 + } + sku: { + name: 'PerGB2018' + } + }) +} + +output id string = logAnalytics.id +output name string = logAnalytics.name diff --git a/test-agent/infra/core/search/azure_ai_search.bicep b/test-agent/infra/core/search/azure_ai_search.bicep new file mode 100644 index 000000000000..0abbed6cd96c --- /dev/null +++ b/test-agent/infra/core/search/azure_ai_search.bicep @@ -0,0 +1,211 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Azure Search resource name') +param resourceName string + +@description('Azure Search SKU name') +param azureSearchSkuName string = 'basic' + +@description('Azure storage account resource ID') +param storageAccountResourceId string + +@description('container name') +param containerName string = 'knowledgebase' + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Name for the AI Foundry search connection') +param connectionName string = 'azure-ai-search-connection' + +@description('Location for all resources') +param location string = resourceGroup().location + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Azure Search Service +resource searchService 'Microsoft.Search/searchServices@2024-06-01-preview' = { + name: resourceName + location: location + tags: tags + sku: { + name: azureSearchSkuName + } + identity: { + type: 'SystemAssigned' + } + properties: { + replicaCount: 1 + partitionCount: 1 + hostingMode: 'default' + authOptions: { + aadOrApiKey: { + aadAuthFailureMode: 'http401WithBearerChallenge' + } + } + disableLocalAuth: false + encryptionWithCmk: { + enforcement: 'Unspecified' + } + publicNetworkAccess: 'enabled' + } +} + +// Reference to existing Storage Account +resource storageAccount 'Microsoft.Storage/storageAccounts@2023-05-01' existing = { + name: last(split(storageAccountResourceId, '/')) +} + +// Reference to existing Blob Service +resource blobService 'Microsoft.Storage/storageAccounts/blobServices@2023-05-01' existing = { + parent: storageAccount + name: 'default' +} + +// Storage Container (create if it doesn't exist) +resource storageContainer 'Microsoft.Storage/storageAccounts/blobServices/containers@2023-05-01' = { + parent: blobService + name: containerName + properties: { + publicAccess: 'None' + } +} + +// RBAC Assignments + +// Search needs to read from Storage +resource searchToStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(storageAccount.id, searchService.id, 'Storage Blob Data Reader', uniqueString(deployment().name)) + scope: storageAccount + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '2a2b9908-6ea1-4ae2-8e65-a410df84e7d1') // Storage Blob Data Reader + principalId: searchService.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// Search needs OpenAI access (AI Services account) +resource searchToAIServicesRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName)) { + name: guid(aiServicesAccountName, searchService.id, 'Cognitive Services OpenAI User', uniqueString(deployment().name)) + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '5e0bd9bd-7b93-4f28-af87-19fc36ad61bd') // Cognitive Services OpenAI User + principalId: searchService.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// AI Project needs Search access - Service Contributor +resource aiServicesToSearchServiceRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(searchService.id, aiServicesAccountName, aiProjectName, 'Search Service Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '7ca78c08-252a-4471-8644-bb5ff32d4ba0') // Search Service Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// AI Project needs Search access - Index Data Contributor +resource aiServicesToSearchDataRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(searchService.id, aiServicesAccountName, aiProjectName, 'Search Index Data Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// User permissions - Search Index Data Contributor +resource userToSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(searchService.id, principalId, 'Search Index Data Contributor', uniqueString(deployment().name)) + scope: searchService + properties: { + // GOOD + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor + principalId: principalId + principalType: principalType + } +} + +// // User permissions - Storage Blob Data Contributor +// resource userToStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { +// name: guid(storageAccount.id, principalId, 'Storage Blob Data Contributor', uniqueString(deployment().name)) +// scope: storageAccount +// properties: { +// roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor +// principalId: principalId +// principalType: principalType +// } +// } + +// // Project needs Search access - Index Data Contributor +// resource projectToSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { +// name: guid(searchService.id, aiProjectName, 'Search Index Data Contributor', uniqueString(deployment().name)) +// scope: searchService +// properties: { +// roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', '8ebe5a00-799e-43f5-93ac-243d3dce84a7') // Search Index Data Contributor +// principalId: aiAccountPrincipalId // Using AI account principal ID as project identity +// principalType: 'ServicePrincipal' +// } +// } + +// Create the AI Search connection using the centralized connection module +module aiSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'ai-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'CognitiveSearch' + target: 'https://${searchService.name}.search.windows.net' + authType: 'AAD' + isSharedToAll: true + metadata: { + ApiVersion: '2024-07-01' + ResourceId: searchService.id + ApiType: 'Azure' + type: 'azure_ai_search' + } + } + } + dependsOn: [ + aiServicesToSearchDataRoleAssignment + ] +} + +// Outputs +output searchServiceName string = searchService.name +output searchServiceId string = searchService.id +output searchServicePrincipalId string = searchService.identity.principalId +output storageAccountName string = storageAccount.name +output storageAccountId string = storageAccount.id +output containerName string = storageContainer.name +output storageAccountPrincipalId string = storageAccount.identity.principalId +output searchConnectionName string = (!empty(aiServicesAccountName) && !empty(aiProjectName)) ? aiSearchConnection!.outputs.connectionName : '' +output searchConnectionId string = (!empty(aiServicesAccountName) && !empty(aiProjectName)) ? aiSearchConnection!.outputs.connectionId : '' + diff --git a/test-agent/infra/core/search/bing_custom_grounding.bicep b/test-agent/infra/core/search/bing_custom_grounding.bicep new file mode 100644 index 000000000000..a811a475ed7a --- /dev/null +++ b/test-agent/infra/core/search/bing_custom_grounding.bicep @@ -0,0 +1,82 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Bing custom grounding resource name') +param resourceName string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry Bing Custom Search connection') +param connectionName string = 'bing-custom-grounding-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Bing Search resource for grounding capability +resource bingCustomSearch 'Microsoft.Bing/accounts@2020-06-10' = { + name: resourceName + location: 'global' + tags: tags + sku: { + name: 'G1' + } + properties: { + statisticsEnabled: false + } + kind: 'Bing.CustomGrounding' +} + +// Role assignment to allow AI project to use Bing Search +resource bingCustomSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + scope: bingCustomSearch + name: guid(subscription().id, resourceGroup().id, 'bing-search-role', aiServicesAccountName, aiProjectName) + properties: { + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') // Cognitive Services User + } +} + +// Create the Bing Custom Search connection using the centralized connection module +module aiSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'bing-custom-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'GroundingWithCustomSearch' + target: bingCustomSearch.properties.endpoint + authType: 'ApiKey' + isSharedToAll: true + metadata: { + Location: 'global' + ResourceId: bingCustomSearch.id + ApiType: 'Azure' + type: 'bing_custom_search' + } + } + apiKey: bingCustomSearch.listKeys().key1 + } + dependsOn: [ + bingCustomSearchRoleAssignment + ] +} + +// Outputs +output bingCustomGroundingName string = bingCustomSearch.name +output bingCustomGroundingConnectionName string = aiSearchConnection.outputs.connectionName +output bingCustomGroundingResourceId string = bingCustomSearch.id +output bingCustomGroundingConnectionId string = aiSearchConnection.outputs.connectionId diff --git a/test-agent/infra/core/search/bing_grounding.bicep b/test-agent/infra/core/search/bing_grounding.bicep new file mode 100644 index 000000000000..e7d7e7062956 --- /dev/null +++ b/test-agent/infra/core/search/bing_grounding.bicep @@ -0,0 +1,81 @@ +targetScope = 'resourceGroup' + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Bing grounding resource name') +param resourceName string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry Bing Search connection') +param connectionName string = 'bing-grounding-connection' + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Bing Search resource for grounding capability +resource bingSearch 'Microsoft.Bing/accounts@2020-06-10' = { + name: resourceName + location: 'global' + tags: tags + sku: { + name: 'G1' + } + properties: { + statisticsEnabled: false + } + kind: 'Bing.Grounding' +} + +// Role assignment to allow AI project to use Bing Search +resource bingSearchRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + scope: bingSearch + name: guid(subscription().id, resourceGroup().id, 'bing-search-role', aiServicesAccountName, aiProjectName) + properties: { + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + roleDefinitionId: resourceId('Microsoft.Authorization/roleDefinitions', 'a97b65f3-24c7-4388-baec-2e87135dc908') // Cognitive Services User + } +} + +// Create the Bing Search connection using the centralized connection module +module bingSearchConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'bing-search-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'GroundingWithBingSearch' + target: bingSearch.properties.endpoint + authType: 'ApiKey' + isSharedToAll: true + metadata: { + Location: 'global' + ResourceId: bingSearch.id + ApiType: 'Azure' + type: 'bing_grounding' + } + } + apiKey: bingSearch.listKeys().key1 + } + dependsOn: [ + bingSearchRoleAssignment + ] +} + +output bingGroundingName string = bingSearch.name +output bingGroundingConnectionName string = bingSearchConnection.outputs.connectionName +output bingGroundingResourceId string = bingSearch.id +output bingGroundingConnectionId string = bingSearchConnection.outputs.connectionId diff --git a/test-agent/infra/core/storage/storage.bicep b/test-agent/infra/core/storage/storage.bicep new file mode 100644 index 000000000000..d30b2ccf3531 --- /dev/null +++ b/test-agent/infra/core/storage/storage.bicep @@ -0,0 +1,113 @@ +targetScope = 'resourceGroup' + +@description('The location used for all deployed resources') +param location string = resourceGroup().location + +@description('Tags that will be applied to all resources') +param tags object = {} + +@description('Storage account resource name') +param resourceName string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('AI Services account name for the project parent') +param aiServicesAccountName string = '' + +@description('AI project name for creating the connection') +param aiProjectName string = '' + +@description('Name for the AI Foundry storage connection') +param connectionName string = 'storage-connection' + +// Storage Account for the AI Services account +resource storageAccount 'Microsoft.Storage/storageAccounts@2023-05-01' = { + name: resourceName + location: location + tags: tags + sku: { + name: 'Standard_LRS' + } + kind: 'StorageV2' + identity: { + type: 'SystemAssigned' + } + properties: { + supportsHttpsTrafficOnly: true + allowBlobPublicAccess: false + minimumTlsVersion: 'TLS1_2' + accessTier: 'Hot' + encryption: { + services: { + blob: { + enabled: true + } + file: { + enabled: true + } + } + keySource: 'Microsoft.Storage' + } + } +} + +// Get reference to the AI Services account and project to access their managed identities +resource aiAccount 'Microsoft.CognitiveServices/accounts@2025-04-01-preview' existing = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: aiServicesAccountName + + resource aiProject 'projects' existing = { + name: aiProjectName + } +} + +// Role assignment for AI Services to access the storage account +resource storageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: guid(storageAccount.id, aiAccount.id, 'ai-storage-contributor') + scope: storageAccount + properties: { + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor + principalId: aiAccount::aiProject.identity.principalId + principalType: 'ServicePrincipal' + } +} + +// User permissions - Storage Blob Data Contributor +resource userStorageRoleAssignment 'Microsoft.Authorization/roleAssignments@2022-04-01' = { + name: guid(storageAccount.id, principalId, 'Storage Blob Data Contributor') + scope: storageAccount + properties: { + roleDefinitionId: subscriptionResourceId('Microsoft.Authorization/roleDefinitions', 'ba92f5b4-2d11-453d-a403-e96b0029c9fe') // Storage Blob Data Contributor + principalId: principalId + principalType: principalType + } +} + +// Create the storage connection using the centralized connection module +module storageConnection '../ai/connection.bicep' = if (!empty(aiServicesAccountName) && !empty(aiProjectName)) { + name: 'storage-connection-creation' + params: { + aiServicesAccountName: aiServicesAccountName + aiProjectName: aiProjectName + connectionConfig: { + name: connectionName + category: 'AzureStorageAccount' + target: storageAccount.properties.primaryEndpoints.blob + authType: 'AAD' + isSharedToAll: true + metadata: { + ApiType: 'Azure' + ResourceId: storageAccount.id + location: storageAccount.location + } + } + } +} + +output storageAccountName string = storageAccount.name +output storageAccountId string = storageAccount.id +output storageAccountPrincipalId string = storageAccount.identity.principalId +output storageConnectionName string = storageConnection.outputs.connectionName diff --git a/test-agent/infra/main.bicep b/test-agent/infra/main.bicep new file mode 100644 index 000000000000..186494c2b1ef --- /dev/null +++ b/test-agent/infra/main.bicep @@ -0,0 +1,168 @@ +targetScope = 'subscription' +// targetScope = 'resourceGroup' + +@minLength(1) +@maxLength(64) +@description('Name of the environment that can be used as part of naming resource convention') +param environmentName string + +@minLength(1) +@maxLength(90) +@description('Name of the resource group to use or create') +param resourceGroupName string = 'rg-${environmentName}' + +// Restricted locations to match list from +// https://learn.microsoft.com/en-us/azure/ai-foundry/openai/how-to/responses?tabs=python-key#region-availability +@minLength(1) +@description('Primary location for all resources') +@allowed([ + 'australiaeast' + 'brazilsouth' + 'canadacentral' + 'canadaeast' + 'eastus' + 'eastus2' + 'francecentral' + 'germanywestcentral' + 'italynorth' + 'japaneast' + 'koreacentral' + 'northcentralus' + 'norwayeast' + 'polandcentral' + 'southafricanorth' + 'southcentralus' + 'southeastasia' + 'southindia' + 'spaincentral' + 'swedencentral' + 'switzerlandnorth' + 'uaenorth' + 'uksouth' + 'westus' + 'westus2' + 'westus3' +]) +param location string + +@metadata({azd: { + type: 'location' + usageName: [ + 'OpenAI.GlobalStandard.gpt-4o-mini,10' + ]} +}) +param aiDeploymentsLocation string + +@description('Id of the user or app to assign application roles') +param principalId string + +@description('Principal type of user or app') +param principalType string + +@description('Optional. Name of an existing AI Services account within the resource group. If not provided, a new one will be created.') +param aiFoundryResourceName string = '' + +@description('Optional. Name of the AI Foundry project. If not provided, a default name will be used.') +param aiFoundryProjectName string = 'ai-project-${environmentName}' + +@description('List of model deployments') +param aiProjectDeploymentsJson string = '[]' + +@description('List of connections') +param aiProjectConnectionsJson string = '[]' + +@description('List of resources to create and connect to the AI project') +param aiProjectDependentResourcesJson string = '[]' + +var aiProjectDeployments = json(aiProjectDeploymentsJson) +var aiProjectConnections = json(aiProjectConnectionsJson) +var aiProjectDependentResources = json(aiProjectDependentResourcesJson) + +@description('Enable hosted agent deployment') +param enableHostedAgents bool + +@description('Enable monitoring for the AI project') +param enableMonitoring bool = true + +// Tags that should be applied to all resources. +// +// Note that 'azd-service-name' tags should be applied separately to service host resources. +// Example usage: +// tags: union(tags, { 'azd-service-name': }) +var tags = { + 'azd-env-name': environmentName +} + +// Check if resource group exists and create it if it doesn't +resource rg 'Microsoft.Resources/resourceGroups@2021-04-01' = { + name: resourceGroupName + location: location + tags: tags +} + +// Build dependent resources array conditionally +// Check if ACR already exists in the user-provided array to avoid duplicates +var hasAcr = contains(map(aiProjectDependentResources, r => r.resource), 'registry') +var dependentResources = (enableHostedAgents) && !hasAcr ? union(aiProjectDependentResources, [ + { + resource: 'registry' + connectionName: 'acr-connection' + } +]) : aiProjectDependentResources + +// AI Project module +module aiProject 'core/ai/ai-project.bicep' = { + scope: rg + name: 'ai-project' + params: { + tags: tags + location: aiDeploymentsLocation + aiFoundryProjectName: aiFoundryProjectName + principalId: principalId + principalType: principalType + existingAiAccountName: aiFoundryResourceName + deployments: aiProjectDeployments + connections: aiProjectConnections + additionalDependentResources: dependentResources + enableMonitoring: enableMonitoring + enableHostedAgents: enableHostedAgents + } +} + +// Resources +output AZURE_RESOURCE_GROUP string = resourceGroupName +output AZURE_AI_ACCOUNT_ID string = aiProject.outputs.accountId +output AZURE_AI_PROJECT_ID string = aiProject.outputs.projectId +output AZURE_AI_FOUNDRY_PROJECT_ID string = aiProject.outputs.projectId +output AZURE_AI_ACCOUNT_NAME string = aiProject.outputs.aiServicesAccountName +output AZURE_AI_PROJECT_NAME string = aiProject.outputs.projectName + +// Endpoints +output AZURE_AI_PROJECT_ENDPOINT string = aiProject.outputs.AZURE_AI_PROJECT_ENDPOINT +output AZURE_OPENAI_ENDPOINT string = aiProject.outputs.AZURE_OPENAI_ENDPOINT +output APPLICATIONINSIGHTS_CONNECTION_STRING string = aiProject.outputs.APPLICATIONINSIGHTS_CONNECTION_STRING + +// Dependent Resources and Connections + +// ACR +output AZURE_AI_PROJECT_ACR_CONNECTION_NAME string = aiProject.outputs.dependentResources.registry.connectionName +output AZURE_CONTAINER_REGISTRY_ENDPOINT string = aiProject.outputs.dependentResources.registry.loginServer + +// Bing Search +output BING_GROUNDING_CONNECTION_NAME string = aiProject.outputs.dependentResources.bing_grounding.connectionName +output BING_GROUNDING_RESOURCE_NAME string = aiProject.outputs.dependentResources.bing_grounding.name +output BING_GROUNDING_CONNECTION_ID string = aiProject.outputs.dependentResources.bing_grounding.connectionId + +// Bing Custom Search +output BING_CUSTOM_GROUNDING_CONNECTION_NAME string = aiProject.outputs.dependentResources.bing_custom_grounding.connectionName +output BING_CUSTOM_GROUNDING_NAME string = aiProject.outputs.dependentResources.bing_custom_grounding.name +output BING_CUSTOM_GROUNDING_CONNECTION_ID string = aiProject.outputs.dependentResources.bing_custom_grounding.connectionId + +// Azure AI Search +output AZURE_AI_SEARCH_CONNECTION_NAME string = aiProject.outputs.dependentResources.search.connectionName +output AZURE_AI_SEARCH_SERVICE_NAME string = aiProject.outputs.dependentResources.search.serviceName + +// Azure Storage +output AZURE_STORAGE_CONNECTION_NAME string = aiProject.outputs.dependentResources.storage.connectionName +output AZURE_STORAGE_ACCOUNT_NAME string = aiProject.outputs.dependentResources.storage.accountName + diff --git a/test-agent/infra/main.parameters.json b/test-agent/infra/main.parameters.json new file mode 100644 index 000000000000..6b7f0db1f3df --- /dev/null +++ b/test-agent/infra/main.parameters.json @@ -0,0 +1,45 @@ +{ + "$schema": "https://schema.management.azure.com/schemas/2019-04-01/deploymentParameters.json#", + "contentVersion": "1.0.0.0", + "parameters": { + "resourceGroupName": { + "value": "${AZURE_RESOURCE_GROUP}" + }, + "environmentName": { + "value": "${AZURE_ENV_NAME}" + }, + "location": { + "value": "${AZURE_LOCATION}" + }, + "aiFoundryResourceName": { + "value": "${AZURE_AI_ACCOUNT_NAME}" + }, + "aiFoundryProjectName": { + "value": "${AZURE_AI_PROJECT_NAME}" + }, + "aiDeploymentsLocation": { + "value": "${AZURE_LOCATION}" + }, + "principalId": { + "value": "${AZURE_PRINCIPAL_ID}" + }, + "principalType": { + "value": "${AZURE_PRINCIPAL_TYPE}" + }, + "aiProjectDeploymentsJson": { + "value": "${AI_PROJECT_DEPLOYMENTS=[]}" + }, + "aiProjectConnectionsJson": { + "value": "${AI_PROJECT_CONNECTIONS=[]}" + }, + "aiProjectDependentResourcesJson": { + "value": "${AI_PROJECT_DEPENDENT_RESOURCES=[]}" + }, + "enableMonitoring": { + "value": "${ENABLE_MONITORING=true}" + }, + "enableHostedAgents": { + "value": "${ENABLE_HOSTED_AGENTS=false}" + } + } +}